2002
DOI: 10.1557/proc-745-n3.8
|View full text |Cite
|
Sign up to set email alerts
|

Wavelength-Invariant Resist Composed of Bimetallic Layers

Abstract: Two layer co-sputtered Bi over In thin films (40 nm/layer) act as a microfabrication resist with many potential applications. Their physical, chemical and optical characteristics change after laser exposures that produce a rapid thermal anneal in selected areas. Unlike organic photoresists, Bi/In is a bimetallic thermal resist whose sensitivity shows a near wavelength invariance for wavelengths from Near IR to UV. The laser-induced patterns are developed by an etch that selectively removes unexposed areas and … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
10
0

Year Published

2003
2003
2004
2004

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 8 publications
(10 citation statements)
references
References 5 publications
0
10
0
Order By: Relevance
“…At laser powers above the threshold for reaction the physical and chemical properties of converted Bi/In films change and most importantly for masks it becomes almost transparent compared to the unexposed Bi/In [1]. As covered in section 7 the heat converts the Bi/In into an transparent alloy or alloy oxide [15], while the unexposed area remained bilayer structure. Figure 1 shows the two steps to make a Bi/In direct-write photomask.…”
Section: Laser Exposurementioning
confidence: 94%
See 2 more Smart Citations
“…At laser powers above the threshold for reaction the physical and chemical properties of converted Bi/In films change and most importantly for masks it becomes almost transparent compared to the unexposed Bi/In [1]. As covered in section 7 the heat converts the Bi/In into an transparent alloy or alloy oxide [15], while the unexposed area remained bilayer structure. Figure 1 shows the two steps to make a Bi/In direct-write photomask.…”
Section: Laser Exposurementioning
confidence: 94%
“…This width is really only the limits of the mask creation program and the laser system, not that of the resist. Although we have not conducted any experiments to find out the smallest feature size possible with the Bi/In film, TEM (Transmission Electron Microscopy) analysis showed that the grain size of the Bi/In film is 150 nm, indicating that the smallest feature can be ~ 150 nm [15]. In order to test the direct write photomask, a Quintel 4" mask aligner with a 365 nm Hg source was used to expose a Shipley SPR2FX-1.3 photoresist coated on a chrome film.…”
Section: Preparation Of Bi/in Direct-write Maskmentioning
confidence: 99%
See 1 more Smart Citation
“…At laser powers above the threshold for reaction, the physical and chemical properties of the converted Bi/In or Sn/In films change and most importantly for mask applications the films become almost transparent compared to the unexposed [8]. The heat converts the Bi/In into an transparent alloy oxide [14], while the unexposed area remains bilayer structure. Figure 1 shows the two steps to make a bimetallic directwrite gray-scale photomask.…”
Section: Bimetallic Thermal Resist As Direct-write Materialsmentioning
confidence: 99%
“…Recent work by the authors, done in collaboration with Prof. K. Kavanagh at Simon Fraser University and Dr. W. Lennard at University of Western Ontario has explored the structural behavior of the Bi/In films before and after laser exposure [14]. Bi/In films were prepared on different substrates, such as glass and silicon wafers.…”
Section: Structural Analysis Of Bi/in Filmmentioning
confidence: 99%