2012
DOI: 10.1007/978-1-4614-3558-7_69
|View full text |Cite
|
Sign up to set email alerts
|

Visualization of Verilog Digital Systems Models

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
9
0

Year Published

2012
2012
2013
2013

Publication Types

Select...
3

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(9 citation statements)
references
References 1 publication
0
9
0
Order By: Relevance
“…For this purpose the VCD2XML module from [8] was used as an inspiration. The VCD files analysis is based on the defined grammar and the analyzed data are stored in the internal memory.…”
Section: B Simulation Results Visualizationmentioning
confidence: 99%
See 4 more Smart Citations
“…For this purpose the VCD2XML module from [8] was used as an inspiration. The VCD files analysis is based on the defined grammar and the analyzed data are stored in the internal memory.…”
Section: B Simulation Results Visualizationmentioning
confidence: 99%
“…For example in [7] authors dealt with the visualization of digital systems described in VHDL. On the other hand, Verilog models visualization is supported in the tool discussed in [8] and SystemC models support is presented in [9]. As far as the authors' knowledge extends none of the academic solutions supports more than two HDLs and the commercial solutions are highly complex to be appropriate for learning process.…”
Section: Related Workmentioning
confidence: 99%
See 3 more Smart Citations