2021
DOI: 10.4230/lipics.ecrts.2021.1
|View full text |Cite
|
Sign up to set email alerts
|

Vicuna: A Timing-Predictable RISC-V Vector Coprocessor for Scalable Parallel Computation

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
4
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
4
4

Relationship

0
8

Authors

Journals

citations
Cited by 14 publications
(4 citation statements)
references
References 0 publications
0
4
0
Order By: Relevance
“…Vector processors promise improved energy efficiency for data-parallel workloads ( Platzer & Puschner, 2021 ). They also have the potential to reduce the performance gap between platforms suitable for time-critical applications and mainstream processors.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Vector processors promise improved energy efficiency for data-parallel workloads ( Platzer & Puschner, 2021 ). They also have the potential to reduce the performance gap between platforms suitable for time-critical applications and mainstream processors.…”
Section: Related Workmentioning
confidence: 99%
“…Thus, the IF/ID pipeline register will store the instruction fetched from program memory, the current PC value required for restart in case of an exception occurring in the next pipeline stages, and the PC+4 value required for fetching the next instruction. The IF_Stall, ID_Stall, IF_Exception_Flush, and IF_Flush signals are required by the control unit and the CPZero module ( Platzer & Puschner, 2021 ), allowing stalling and flushing of the pipeline in case of hazard situations and exceptions. Operands read from the GPR will be stored in the next pipeline stage if the instruction is of type R or I, or will be ignored as is the case for jump instructions.…”
Section: Custom Soft-core Processor Fpga Development and Integrationmentioning
confidence: 99%
“…In [11,12], Hahn et al introduce SIC: a timing anomaly-free in-order core, as well as a formal modeling and proof framework. Using the same framework, [17] introduced Vicuna, a vector coprocessor that was proven free of timing anomalies. Finally [6,8] presented MINOTAuR, an open source RISC-V predictable core featuring a restricted degree of out-of-order execution as well as branch prediction mechanisms.…”
Section: Related Workmentioning
confidence: 99%
“…Small-scale vector units have been proposed for Field-Programmable Gate Arrays (FPGAs), where the leanness of the vector processor is a constraint due to limited FPGA resources. Vicuna [15] is a timing-predictable vector processor compliant with RVV version 0.10, synthesized on a Xilinx Series 7 FPGA. Its VRF was implemented as a multi-ported Random-Access Memory (RAM) due to concerns with timing anomalies with a multi-banked VRF.…”
Section: Related Workmentioning
confidence: 99%