2017 IEEE European Symposium on Security and Privacy (EuroS&P) 2017
DOI: 10.1109/eurosp.2017.31
|View full text |Cite
|
Sign up to set email alerts
|

Use of simulators for side-channel analysis

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
6
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 6 publications
(6 citation statements)
references
References 6 publications
0
6
0
Order By: Relevance
“…Its drawback is that transistor-level simulators tend to be very slow. Alternatively, researchers have looked at emulating at the source code level [80] and at machine instruction level [67,81]. In source code level emulation, the emulator does not have any information about a specific CPU that will be used to run the compiled machine code of a given source code.…”
Section: Leakage Emulatorsmentioning
confidence: 99%
See 1 more Smart Citation
“…Its drawback is that transistor-level simulators tend to be very slow. Alternatively, researchers have looked at emulating at the source code level [80] and at machine instruction level [67,81]. In source code level emulation, the emulator does not have any information about a specific CPU that will be used to run the compiled machine code of a given source code.…”
Section: Leakage Emulatorsmentioning
confidence: 99%
“…Recently, several works have experimented with tools that provide a high resolution emulation of the power consumption [81]. The results of such emulations are combined with standard statistical tests [10] to perform leakage assessment of software without executing it on the actual hardware [67].…”
mentioning
confidence: 99%
“…Achievement: Generic framework for modeling of microarchitectural details in a black-box model. Debande et al [25], the first to point out the significance of deriving realistic leakage models, propose the first gray-box trace [66] 2007 not relevant --power $ Oscar [76] 2009 AT90XX, ATmegaXX --power Debande [25] 2012 not specified --power Gagnerot [31] 2013 Risc-V( not specified) --power SILK [80] 2014 ATmega328P --power SLEAK [82] 2014 ARM Cortex A8 -register access Reparaz [63] 2016 not relevant --power SAVRASCA [81] 2017 ATMega163 -power ASCOLD [61] 2017 ATMega163 -ILA ELMO [55] 2017 ARM Cortex M0 --power ELMO * [73] 2019 ARM Cortex M0 --power ROSITA [73] 2019 ELMO * ARM Cortex M0 power EMSIM [72] 2020…”
Section: A Leakage Detection At Post-silicon Stagementioning
confidence: 99%
“…SAVRASCA [81] uses the tracing feature of the SimulAVR tool and is suitable for the analysis of code for the AtmelAVR family. The simulator can produce both power and execution traces.…”
Section: B Leakage Verification At Post-silicon Stagementioning
confidence: 99%
“…The reason is that, it is not feasible to isolate the power traces associated to each block from the postsilicon power measurements. Therefore, we could not validate [20] presented a comprehensive survey of simulators for sidechannel analysis, e.g., PINPAS [21], SCARD [22], OSCAR [23], etc. These simulators mostly support software crypto algorithms implemented in microprocessors, not hardware crypto modules.…”
Section: Validation Of Rtl-pscmentioning
confidence: 99%