2014 47th Annual IEEE/ACM International Symposium on Microarchitecture 2014
DOI: 10.1109/micro.2014.51
|View full text |Cite
|
Sign up to set email alerts
|

Unison Cache: A Scalable and Effective Die-Stacked DRAM Cache

Abstract: Abstract-Recent research advocates large die-stacked DRAM caches in manycore servers to break the memory latency and bandwidth wall. To realize their full potential, diestacked DRAM caches necessitate low lookup latencies, high hit rates and the efficient use of off-chip bandwidth. Today's stacked DRAM cache designs fall into two categories based on the granularity at which they manage data: block-based and page-based. The state-of-the-art block-based design, called Alloy Cache, colocates a tag with each data … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
106
0

Year Published

2015
2015
2019
2019

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 138 publications
(106 citation statements)
references
References 32 publications
0
106
0
Order By: Relevance
“…To best utilize fast, energy-efficient in-package DRAM without burdening software writers, many researchers propose to use it as a large last-level cache [20,21,22,26,27,29,38]. This is justified by the fact that the in-package DRAM capacity, ranging from hundreds of megabytes to several gigabytes [27], is still not big enough to completely replace the main memory especially for emerging applications with huge memory footprints [16] such as in-memory database [7] and genome assemblies [31].…”
Section: Introductionmentioning
confidence: 99%
See 3 more Smart Citations
“…To best utilize fast, energy-efficient in-package DRAM without burdening software writers, many researchers propose to use it as a large last-level cache [20,21,22,26,27,29,38]. This is justified by the fact that the in-package DRAM capacity, ranging from hundreds of megabytes to several gigabytes [27], is still not big enough to completely replace the main memory especially for emerging applications with huge memory footprints [16] such as in-memory database [7] and genome assemblies [31].…”
Section: Introductionmentioning
confidence: 99%
“…To alleviate the problems associated with large tags, pagebased DRAM caches have recently been proposed to cache at a page granularity, typically ranging from 1 to 8 kilobytes [20,21,22]. In addition to reducing the tag overhead, page-based caches have additional benefits of higher hit rate by better exploiting spatial locality and maximum DRAM access efficiency by amortizing row activation cost.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations
“…Our choice was motivated by the multidimensional effects of a 3D architecture, as compared to a 2D design, and by the increased interest around this emerging technology [19], [11] 1 . The 3D stacking technique improves performance, due to the higher bandwidth and lower latency of the on-chip DRAM.…”
Section: Representative Case Studymentioning
confidence: 99%