2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP) 2013
DOI: 10.1109/slip.2013.6681680
|View full text |Cite
|
Sign up to set email alerts
|

Toward quantifying the IC design value of interconnect technology improvements

Abstract: Abstract-As technology scales, wire delay due to interconnect resistance (R) and capacitance (C) is increasing. Thus, improvement of middle-of-line and back-end-of-line (BEOL) materials and process technology (e.g., to achieve reduced barrier material thickness or dielectric permittivity) has always been a key goal in the technology roadmap. However, to date there has not been any systematic quantification of the value of BEOL technology improvements on integrated circuit (IC) design metrics. In this work, we … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2015
2015
2015
2015

Publication Types

Select...
2

Relationship

2
0

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 9 publications
(12 reference statements)
0
2
0
Order By: Relevance
“…Since our N7 technology is missing detailed BEOL stack information which is necessary for design enablement, we scale up the N7 library cells' dimensions to use an N28 BEOL stack, following the methodology described in Han et al 5 ¶ . The methodology described by Chan et al 1 is used to derive the missing resistance (R) and capacitance (C) information for N7 BEOL from original N28 wire RC values. Here, R (C) is defined as per unit-length resistance (capacitance) in a specific foundry node.…”
Section: Methodsmentioning
confidence: 99%
“…Since our N7 technology is missing detailed BEOL stack information which is necessary for design enablement, we scale up the N7 library cells' dimensions to use an N28 BEOL stack, following the methodology described in Han et al 5 ¶ . The methodology described by Chan et al 1 is used to derive the missing resistance (R) and capacitance (C) information for N7 BEOL from original N28 wire RC values. Here, R (C) is defined as per unit-length resistance (capacitance) in a specific foundry node.…”
Section: Methodsmentioning
confidence: 99%
“…3 To derive the missing 7nm wire RC information from 28nm RC values, we scale up R by 15× for 7nm wire R, and use the same wire C value. This follows methodology of, e.g., [4] to account for the rapid increase of resistivity in advanced nodes. Then, since we are using the scaled geometries to mimic a 7nm P&R flow, R and C per unit length are scaled down (in the P&R tool) by 2.5×.…”
Section: Physical Implementation With Advanced Technologymentioning
confidence: 99%