2023
DOI: 10.28924/ada/stat.3.12
|View full text |Cite
|
Sign up to set email alerts
|

Time Variant Wave-Signal-Amplitude Trigonometry Regression of Latitudes and Longitudes of the Belmullets of the Atlantic Ocean

Rasaki Olawale Olanrewaju,
Sodiq Adejare Olanrewaju,
Serifat Folorunsho
et al.

Abstract: This paper introduces time variant wave-signal-amplitude cosine and sine regression as an extension to wave signal Fourier function and Wave-Shape Function (WSF) model. A full-scale conditional characterization of the linear time variant wave-signal-amplitude cosine and sine model of cosine and sine function with random errors (ηi) was proposed. The associated regression coefficients were estimated via the Ordinary Least Square (OLS) technique, such that, the model wave signal, frequency, and phase were carved… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2023
2023
2023
2023

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(2 citation statements)
references
References 28 publications
0
2
0
Order By: Relevance
“…Other sources, [8], [9], [10],[11] and [12], also refer to that article. The SWM has been mentioned frequently in patents: [13], [14], [15], [16], [17], [18], [19], [20], [21], [22], [23], [24], [25], [26], [27], [28], [29], [30], [31], [32], [33], [34], [35], [36], [37], [38], [39], [40], [41], [42], [43], [44], [45], [46], [47], [48], [49], [50], [51], [52], [53], [54], [55], [56], [57], [58], [59], [60], [61], [62], [63], [64], [65], [66], [67], [68], [69], [70], [71], [72], [73], [74], [75], [76], [77], [78], [79], [80], [81], [82], [83], [84], [85], [86], [87], [88], [89], [90], [91], [92], [93], [94], [95], [96], [97], [98], [99], [100], ...…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Other sources, [8], [9], [10],[11] and [12], also refer to that article. The SWM has been mentioned frequently in patents: [13], [14], [15], [16], [17], [18], [19], [20], [21], [22], [23], [24], [25], [26], [27], [28], [29], [30], [31], [32], [33], [34], [35], [36], [37], [38], [39], [40], [41], [42], [43], [44], [45], [46], [47], [48], [49], [50], [51], [52], [53], [54], [55], [56], [57], [58], [59], [60], [61], [62], [63], [64], [65], [66], [67], [68], [69], [70], [71], [72], [73], [74], [75], [76], [77], [78], [79], [80], [81], [82], [83], [84], [85], [86], [87], [88], [89], [90], [91], [92], [93], [94], [95], [96], [97], [98], [99], [100], ...…”
Section: Introductionmentioning
confidence: 99%
“…Other sources, [8], [9], [10], [11] and [12], also refer to that article. The SWM has been mentioned frequently in patents: [13], [14], [15], [16], [17], [18], [19], [20], [21], [22], [23], [24], [25], [26], [27], [28], [29], [30], [31], [32], [33], [34], [35], [36], [37], [38], [39], [40], [41], [42], [43], [44], [45], [46], [47], [48], [49], [50], [51], [52], [53], [54], [55], [56], [57],…”
Section: Introductionmentioning
confidence: 99%