First International Symposium on Networks-on-Chip (NOCS'07) 2007
DOI: 10.1109/nocs.2007.42
|View full text |Cite
|
Sign up to set email alerts
|

The Power of Priority: NoC Based Distributed Cache Coherency

Abstract: The paper introduces Network-on-Chip (NoC) design methodology and low cost mechanisms for supporting efficient cache access and cache coherency in future high-performance Chip Multi Processors (CMPs). We address previously proposed CMP architectures based on Non Uniform Cache Architecture (NUCA) over NoC, analyze basic memory transactions and translate them into a set of network transactions. We first show how a simple, generic NoC which is equipped with needed module interface functionalities can provide infr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
37
0

Year Published

2009
2009
2015
2015

Publication Types

Select...
4
2
2

Relationship

1
7

Authors

Journals

citations
Cited by 58 publications
(38 citation statements)
references
References 37 publications
0
37
0
Order By: Relevance
“…This is the main difference between [4] and our work. Also [4] does not show the detail implementation of this mechanism in NoC. Eisley et al [11] addressed the cache coherency problem in CMP and proposed to alter the standard directory-based system by directories implemented inside NoC router.…”
Section: Related Work and Discussionmentioning
confidence: 65%
See 2 more Smart Citations
“…This is the main difference between [4] and our work. Also [4] does not show the detail implementation of this mechanism in NoC. Eisley et al [11] addressed the cache coherency problem in CMP and proposed to alter the standard directory-based system by directories implemented inside NoC router.…”
Section: Related Work and Discussionmentioning
confidence: 65%
“…The majority focused on in-protocol optimizations, releasing consistency model and reducing complexity of implementation. Bolotin et al [4] propose a similar mechanism, but it just prioritizes control packets over data packets in the NoC. The main idea in [4] is to make sure that the short message can be transferred faster.…”
Section: Related Work and Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…Even more sophisticated buses may include a convergecast mechanism that facilitates the efficient collection of acknowledgements or negative responses back to the initiator. Finally, the most basic service provided by the bus is a multicast (broadcast) operation: In order to deliver a message from one source to a group of (all) destinations using a basic NoC, the sender needs to generate multiple unicast messages [5]. While NoCs may include a built-in multicast mechanism (e.g., [7]), it will fall behind the simplicity and low latency of the proposed bus.…”
Section: Benoc Multicast Servicesmentioning
confidence: 99%
“…In both cases, the next time the processor with the copy accesses the block, it will see the most recent value, thereby ensuring a coherent view of the memory system. Since invalidation-based coherence has been used in most recent systems (e.g., [123,99,93,35,113,18,26]), this dissertation only considers this kind of implementation.…”
Section: Cache Coherencementioning
confidence: 99%