2022
DOI: 10.1145/3499424
|View full text |Cite
|
Sign up to set email alerts
|

The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture

Abstract: Superscalar out-of-order cores deliver high performance at the cost of increased complexity and power budget. In-order cores, in contrast, are less complex and have a smaller power budget, but offer low performance. A processor architecture should ideally provide high performance in a power- and cost-efficient manner. Recently proposed slice-out-of-order (sOoO) cores identify backward slices of memory operations which they execute out-of-order with respect to the rest of the dynamic ins… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 46 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?