2009 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition 2009
DOI: 10.1109/date.2009.5090874
|View full text |Cite
|
Sign up to set email alerts
|

Test cost reduction for multiple-voltage designs with bridge defects through Gate-Sizing

Abstract: Abstract-Multiple-voltage is an effective dynamic power reduction design technique. Recent research has shown that testing for resistive bridging faults in such designs requires more than one voltage setting for 100% defect coverage; however switching between several supply voltage settings has a detrimental impact on the overall cost of test. This paper proposes an effective Gate Sizing technique for reducing test cost of multi-Vdd designs with bridge defects. Using synthesized ISCAS benchmarks and a parametr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
12
0

Year Published

2009
2009
2011
2011

Publication Types

Select...
1
1

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(12 citation statements)
references
References 12 publications
(25 reference statements)
0
12
0
Order By: Relevance
“…One drawback with TPI scheme [Khursheed et al 2008] is that it does not guarantee single Vdd test and usually results in more than one test Vdd settings. Experimental results presented in [Khursheed et al 2008] and more recently in [Khursheed et al 2009a] show that TPI is unable to reduce test to single Vdd setting for majority of circuits. This can be understood from the following explanation.…”
Section: Test Point Insertionmentioning
confidence: 99%
See 4 more Smart Citations
“…One drawback with TPI scheme [Khursheed et al 2008] is that it does not guarantee single Vdd test and usually results in more than one test Vdd settings. Experimental results presented in [Khursheed et al 2008] and more recently in [Khursheed et al 2009a] show that TPI is unable to reduce test to single Vdd setting for majority of circuits. This can be understood from the following explanation.…”
Section: Test Point Insertionmentioning
confidence: 99%
“…On the other hand, a physical defect between an interconnect line and power supply (Vdd) or ground rail (Gnd) is referred to as hard-short (bridge with 0 Ω resistance). It was shown in [Khursheed et al 2009b] that detectability of hard-short is irrespective of Vdd settings and therefore is not further discussed in this chapter. This section discusses modelling and test generation of resistive bridge for multi-Vdd designs.…”
Section: Test For Multi-voltage Design: Bridge Defectmentioning
confidence: 99%
See 3 more Smart Citations