2022
DOI: 10.3390/jlpea12040056
|View full text |Cite
|
Sign up to set email alerts
|

Templatized Fused Vector Floating-Point Dot Product for High-Level Synthesis

Abstract: Machine-learning accelerators rely on floating-point matrix and vector multiplication kernels. To reduce their cost, customized many-term fused architectures are preferred, which improve the latency, power, and area of the designs. In this work, we design a parameterized fused many-term floating-point dot product architecture that is ready for high-level synthesis. In this way, we can exploit the efficiency offered by a well-structured fused dot-product architecture and the freedom offered by high-level synthe… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
0
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
2
1

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(1 citation statement)
references
References 33 publications
0
0
0
Order By: Relevance
“…The floating-point operators integrated into the library are based on the FastFloat4HLS [37] open-source HLS library for FP arithmetic that support custom datatypes as well as dotproduct fused operations that -to the best of our knowledgehave not yet been integrated into any other open source HLS CNN model. The HLS implementation for fused dot-product operations that has been presented in [38] and is integrated in the FastFloat4HLS library, allows the design of any term fused dot-product units. This, combined with the support for arbitrary datatypes, allows the implementation of custom optimized operators depending on the characteristics of the CNN layer.…”
Section: Arithmetic Representation and Quantizationmentioning
confidence: 99%
“…The floating-point operators integrated into the library are based on the FastFloat4HLS [37] open-source HLS library for FP arithmetic that support custom datatypes as well as dotproduct fused operations that -to the best of our knowledgehave not yet been integrated into any other open source HLS CNN model. The HLS implementation for fused dot-product operations that has been presented in [38] and is integrated in the FastFloat4HLS library, allows the design of any term fused dot-product units. This, combined with the support for arbitrary datatypes, allows the implementation of custom optimized operators depending on the characteristics of the CNN layer.…”
Section: Arithmetic Representation and Quantizationmentioning
confidence: 99%