2014
DOI: 10.1002/pssa.201431162
|View full text |Cite
|
Sign up to set email alerts
|

Temperature dependence of silicon nitride deposited by remote plasma atomic layer deposition

Abstract: We investigated the characteristics of silicon nitride (SiNx) thin films deposited by remote plasma atomic layer deposition (RPALD) using trisilyamine (TSA) and ammonia (NH3) plasma at low temperatures. Although the process window of SiNx thin films is 150–350 °C, considering the refractive index (RI), SiNx thin films deposited at 250–350 °C were focused on for analyses. All of the SiNx films were nearly stoichiometric, regardless of the deposition temperature. As the deposition temperature increased, the RI i… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

2
50
1

Year Published

2015
2015
2024
2024

Publication Types

Select...
8

Relationship

2
6

Authors

Journals

citations
Cited by 47 publications
(53 citation statements)
references
References 26 publications
(29 reference statements)
2
50
1
Order By: Relevance
“…In the case of PEALD, the gas temperature is easily changed in accordance with the substrate temperature change, which in turn affects the density of gaseous species and the generation of plasma species. The RPALD allows flexible control of plasma composition and properties at the substrate's location, making it well-suited for process design [8,[16][17][18][19]. The ALD of SiN x , silicon chlorides (e.g., SiCl 4 , SiH 2 Cl 2 , and Si 2 Cl 6 ) have been studied as silicon precursors along with reactants such as NH 3 , NH 3 plasma, or N 2 H 4 .…”
Section: Introductionmentioning
confidence: 99%
“…In the case of PEALD, the gas temperature is easily changed in accordance with the substrate temperature change, which in turn affects the density of gaseous species and the generation of plasma species. The RPALD allows flexible control of plasma composition and properties at the substrate's location, making it well-suited for process design [8,[16][17][18][19]. The ALD of SiN x , silicon chlorides (e.g., SiCl 4 , SiH 2 Cl 2 , and Si 2 Cl 6 ) have been studied as silicon precursors along with reactants such as NH 3 , NH 3 plasma, or N 2 H 4 .…”
Section: Introductionmentioning
confidence: 99%
“…Redeposition in plasma-assisted atomic layer deposition: Silicon nitride film quality ruled by the gas residence time Harm C. M. Knoops, 1,2,a) K. de Peuter, 1 The requirements on the material properties and growth control of silicon nitride (SiN x ) spacer films in transistors are becoming ever more stringent as scaling of transistor structures continues. One method to deposit high-quality films with excellent control is atomic layer deposition (ALD).…”
mentioning
confidence: 99%
“…[http://dx.doi.org/10.1063/1.4926366] Silicon nitride (SiN x ) is one of the most widely used thin-film materials in many areas such as semiconductor technology, photovoltaics, and displays-due to its unique combination of optical, electrical, mechanical, and chemical properties. 1 One of its key applications in semiconductor technology is as spacer films in transistors where the SiN x serves multiple roles such as a barrier film and masking material. For this application, the requirement of uniform material quality and film thickness throughout the device, independent of transistor pitch, is becoming ever more rigorous as miniaturization of the transistor structures continues.…”
mentioning
confidence: 99%
See 1 more Smart Citation
“…Similarly, Jang et al studied the temperature dependency of SiN x thin film properties such as surface roughness and refractive index [27]. Their results revealed that SiN x thin films deposited at lower temperatures showed lower defect density caused by high hydrogen content.…”
Section: ) Precursorsmentioning
confidence: 99%