2009 Asia and South Pacific Design Automation Conference 2009
DOI: 10.1109/aspdac.2009.4796487
|View full text |Cite
|
Sign up to set email alerts
|

Synthesis of networks on chips for 3D systems on chips

Abstract: Three-dimensional stacking of silicon layers is emerging as a promising solution to handle the design complexity and heterogeneity of Systems on Chips (SoCs). Networks on Chips (NoCs) are necessary to efficiently handle the 3D interconnect complexity. Designing power efficient NoCs for 3D SoCs that satisfy the application performance requirements, while satisfying the 3D technology constraints is a big challenge. In this work, we address this problem and present a synthesis approach for designing power-perform… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
27
0

Year Published

2010
2010
2019
2019

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 66 publications
(27 citation statements)
references
References 28 publications
0
27
0
Order By: Relevance
“…The next set of benchmarks are obtained from [6]: 263decmp3dec, 263encmp3dec and mp3encmp3dec. The last benchmark is obtained from [8]: D 38 tvopd. Fig.6 shows two floorplan generated for the 263decmp3dec and D 38 tvopd benchmark.…”
Section: B Results and Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…The next set of benchmarks are obtained from [6]: 263decmp3dec, 263encmp3dec and mp3encmp3dec. The last benchmark is obtained from [8]: D 38 tvopd. Fig.6 shows two floorplan generated for the 263decmp3dec and D 38 tvopd benchmark.…”
Section: B Results and Discussionmentioning
confidence: 99%
“…For regular Noc topology design, some existing NoC solutions assume a mesh-based NoC architecture [4,5], and their focus is on the mapping problem. For application-specific topology design, the design challenges are different in terms of irregular core sizes, various core locations, and different communication flow requirements [6,7,8,9,10]. Most SoCs are typically composed of heterogeneous cores and the core sizes are highly non-uniform.…”
Section: Introductionmentioning
confidence: 99%
“…Our algorithm 3D-SAL-FP improves upon the previous algorithms in [14,15] by: 1) using a more sophisticated traffic flow routing algorithm (SAL), 2) adding a feedback loop of floorplanning and NoC synthesis to refine the NoC architecture, 3) using a more accurate switch delay model including the effects of queueing delay and network contention. To show the separate impact of these techniques on the NoC design, we have implemented three other 3D NoC synthesis algorithms.…”
Section: B Impact Of Each Strategy Applied In Our Algorithmmentioning
confidence: 99%
“…Murali et al [15] propose a 3D NoC topology synthesis algorithm, which is an extension to their previous 2D work [19], described above. The 3D NoC synthesis problem has been shown to be NP-hard in [21].…”
Section: Contributions Of Our Workmentioning
confidence: 99%
See 1 more Smart Citation