2016 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2016
DOI: 10.1109/hpca.2016.7446103
|View full text |Cite
|
Sign up to set email alerts
|

Symbiotic job scheduling on the IBM POWER8

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
26
1

Year Published

2017
2017
2024
2024

Publication Types

Select...
5
3

Relationship

3
5

Authors

Journals

citations
Cited by 15 publications
(27 citation statements)
references
References 19 publications
0
26
1
Order By: Relevance
“…Other works have studied job symbiosis as a way to rise performance by co-scheduling jobs with compatible demands on the shared resources. In recent approaches, Eyerman et al [22], [23] and Feliu et al [24] predict how the interference among processes affects the performance of different co-schedules without actually running them, which is used to select the co-schedule with highest performance on each quantum. With the same goal, but focused on L1 bandwidth, Feliu et al [13] propose a process allocation policy to balance the overall L1 requests across all the L1 caches of the processor.…”
Section: Related Workmentioning
confidence: 99%
“…Other works have studied job symbiosis as a way to rise performance by co-scheduling jobs with compatible demands on the shared resources. In recent approaches, Eyerman et al [22], [23] and Feliu et al [24] predict how the interference among processes affects the performance of different co-schedules without actually running them, which is used to select the co-schedule with highest performance on each quantum. With the same goal, but focused on L1 bandwidth, Feliu et al [13] propose a process allocation policy to balance the overall L1 requests across all the L1 caches of the processor.…”
Section: Related Workmentioning
confidence: 99%
“…Previous work on symbiotic job scheduling for SMT uses sampling to explore the space of possible schedules [24], relies on novel hardware support [8], or performs an offline analysis to predict the interference between applications on an SMT core [27]. In contrast to these works, we propose an online modelbased scheduler [10] that does not require sampling and can be used on an existing commercial processor. The devised scheduler leverages the existing CPI stack accounting mechanism on the IBM POWER8 to build a model that predicts the interference among threads on an SMT core.…”
Section: Introductionmentioning
confidence: 99%
“…In this work we extend our symbiotic job scheduler [10], making the following contributions. 1) We redefine the construction of the model such that the interference of applications running on the same SMT core is predicted more accurately.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…The work discussed in this chapter has been published in [75]. In this chapter, the main contributions of these proposals are summarized, followed by a discussion about future work, and an enumeration of the scientific publications related with this dissertation.…”
Section: Discussionmentioning
confidence: 99%