2023
DOI: 10.35848/1347-4065/aca9ae
|View full text |Cite
|
Sign up to set email alerts
|

Stochastic defect generation depending on tetraalkylhydroxide aqueous developers in extreme ultraviolet lithography

Abstract: Patterning targets in leading-edge technologies such as extreme ultraviolet lithography (EUVL) are starting to push present photoresist materials (e.g., chemical amplification resists) to their physical limits. The appearance of randomly occurring (stochastic) photoresist-based defects in these stringent patterning targets has become one of the main concerns in EUVL. To obtain possible clues to understanding these stochastic defects, the effect of developer solutions (alkyl chain length of tetraalkylammonium h… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
4
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
5

Relationship

4
1

Authors

Journals

citations
Cited by 5 publications
(6 citation statements)
references
References 40 publications
0
4
0
Order By: Relevance
“…The lithographic performance improvement by TAAH aqueous solutions is known to depend on the type of resist used. 19,20,184) The dissolution dynamics of partially protected PHS in TAAH aqueous solutions has been investigated using the QCM method. The QCM charts obtained during the development are shown in Fig.…”
Section: Developmentmentioning
confidence: 99%
See 1 more Smart Citation
“…The lithographic performance improvement by TAAH aqueous solutions is known to depend on the type of resist used. 19,20,184) The dissolution dynamics of partially protected PHS in TAAH aqueous solutions has been investigated using the QCM method. The QCM charts obtained during the development are shown in Fig.…”
Section: Developmentmentioning
confidence: 99%
“…The shot noise became a serious concern with the decrease of photons in the development of next-generation EUV lithography. 15,[17][18][19][20][21] The sensitivity of resist materials required for the high-volume production of semiconductor devices is 30 mJ cm −2 . 22) At a 30 mJ cm −2 exposure dose, the number of photons entering a 10 nm square area is 2024, as shown in Fig.…”
Section: Introductionmentioning
confidence: 99%
“…In the development of resist materials and processes, the suppression of stochastically generated defects 5) is the most important issue. [6][7][8][9][10] The development process (i.e., the dissolution of resist films by a developer) is a key process in the suppression of stochastic effects. 11) To suppress stochastic effects, an increase in the number of absorbed photons is essential.…”
Section: Introductionmentioning
confidence: 99%
“…Although the trade-off relationships are still a problem, the suppression of stochastic defects is currently the most serious issue in the development of EUV resists. [5][6][7][8][9][10] The stochastic defects are generated because the formation of resist patterns is a consequence of stochastic processes.…”
Section: Introductionmentioning
confidence: 99%