The platform will undergo maintenance on Sep 14 at about 9:30 AM EST and will be unavailable for approximately 1 hour.
2006
DOI: 10.1109/dac.2006.229278
|View full text |Cite
|
Sign up to set email alerts
|

Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
13
0

Year Published

2007
2007
2008
2008

Publication Types

Select...
3
2

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(13 citation statements)
references
References 13 publications
0
13
0
Order By: Relevance
“…During the scheduling, the slack of each task c ∈ C is exploited to share the bus(es). The formulation of the optimization problem is given as follows [11], [9], minimize: X subject to,…”
Section: Bus Synthesismentioning
confidence: 99%
See 2 more Smart Citations
“…During the scheduling, the slack of each task c ∈ C is exploited to share the bus(es). The formulation of the optimization problem is given as follows [11], [9], minimize: X subject to,…”
Section: Bus Synthesismentioning
confidence: 99%
“…In [6] a synthesis flow which supports shared buses and point-to-point connection templates was presented. In [9], [10] an energy conscious on-chip bus synthesis technique was presented. All the above techniques are for synthesizing on-chip bus architectures, however, non of them synthesizes memories.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Furthermore, it explores a trade-off between communication resources and power consumption during bus synthesis. The bus synthesis technique in our work is similar to [14], [15], however, the proposed approach in [14], [15] was limited to a task with a deterministic arrival time. Thus, the synthesized bus architecture may fail to meet the real-time constraint if the arrival time and rate of tasks is random due to the partial re-configuration of a system.…”
mentioning
confidence: 99%
“…However, the approach is only used for the power optimization of a post synthesis bus architecture. Recently, a simultaneous bus synthesis and voltage scaling technique was presented in [14], [15], which finds the optimal bus width and the number of buses. Furthermore, it explores a trade-off between communication resources and power consumption during bus synthesis.…”
mentioning
confidence: 99%