2014
DOI: 10.1007/s11241-014-9212-x
|View full text |Cite
|
Sign up to set email alerts
|

Static probabilistic worst case execution time estimation for architectures with faulty instruction caches

Abstract: Semiconductor technology evolution suggests that permanent failure rates will increase dramatically with scaling, in particular for SRAM cells. While well known approaches such as error correcting codes exist to recover from failures and provide fault-free chips, they will not be affordable anymore in the future due to their non-scalable cost. Consequently, other approaches like fine grain disabling and reconfiguration of hardware elements (e.g. individual functional units or cache blocks) will become economic… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
13
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
4
2
1

Relationship

1
6

Authors

Journals

citations
Cited by 17 publications
(20 citation statements)
references
References 25 publications
(37 reference statements)
0
13
0
Order By: Relevance
“…SSR has been verified on top of real hardware platforms like AURIX [67]. [57] analyzes the impact of random and independent permanent faults disabling lines in modulo-placement and LRU-replacement instruction caches, using traditional static timing analysis (STA). The cited work studies the faulty cache maps that may result from a given failure rate in SRAM bit cells, and the degradation that this may cause to WCET estimates, associating the latter to the probability of occurrence of each cache map.…”
Section: Mbpta-supportive Software Solutionsmentioning
confidence: 99%
“…SSR has been verified on top of real hardware platforms like AURIX [67]. [57] analyzes the impact of random and independent permanent faults disabling lines in modulo-placement and LRU-replacement instruction caches, using traditional static timing analysis (STA). The cited work studies the faulty cache maps that may result from a given failure rate in SRAM bit cells, and the degradation that this may cause to WCET estimates, associating the latter to the probability of occurrence of each cache map.…”
Section: Mbpta-supportive Software Solutionsmentioning
confidence: 99%
“…In a previous study [1], we have proposed a static probabilistic method to estimate the impact of permanent faults in cache blocks on worst-case execution times. The proposed method statically estimates the worst-case path in programs using static analysis, its probabilistic nature only stemming from the probabilistic nature of cell failure.…”
Section: Introductionmentioning
confidence: 99%
“…The experimental evaluation of [1] allowed to quantify the impact of permanent faults on pWCETs. For a given probability of cell failure (e.g.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations