2013 IEEE 31st International Conference on Computer Design (ICCD) 2013
DOI: 10.1109/iccd.2013.6657057
|View full text |Cite
|
Sign up to set email alerts
|

Speculative tag access for reduced energy dissipation in set-associative L1 data caches

Abstract: Abstract-Due to performance reasons, all ways in setassociative level-one (L1) data caches are accessed in parallel for load operations even though the requested data can only reside in one of the ways. Thus, a significant amount of energy is wasted when loads are performed. We propose a speculation technique that performs the tag comparison in parallel with the address calculation, leading to the access of only one way during the following cycle on successful speculations. The technique incurs no execution ti… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
15
0

Year Published

2013
2013
2015
2015

Publication Types

Select...
5
2

Relationship

4
3

Authors

Journals

citations
Cited by 13 publications
(15 citation statements)
references
References 16 publications
0
15
0
Order By: Relevance
“…The analysis for selecting this range is described in prior work [Bardizbanyan et al 2013a]. For the given bitwidth range, we found that a speculative DFC access was attempted for 73.8% of the load operations and that the speculation success rate (no carry out) for these accesses was 97% for our benchmark suite.…”
Section: Accessing the Dfc Early In The Pipelinementioning
confidence: 91%
“…The analysis for selecting this range is described in prior work [Bardizbanyan et al 2013a]. For the given bitwidth range, we found that a speculative DFC access was attempted for 73.8% of the load operations and that the speculation success rate (no carry out) for these accesses was 97% for our benchmark suite.…”
Section: Accessing the Dfc Early In The Pipelinementioning
confidence: 91%
“…We use extracted energy estimates from a placed and routed RTL description of a 5-stage in-order processor including 16kB 4-way set-associative instruction and data caches [9] with 32B line size [5]. Although the implemented pipeline is simpler than the pipeline evaluated in this work, the energy for accessing the L1 DC is representative.…”
Section: Resultsmentioning
confidence: 99%
“…As a result, the load operations that can benefit from this approach will be close to the proposed ELD 3 technique. Speculative tag access allows many load operations to access L1 DC sequentially by making the tag match speculatively in an early stage of the pipeline [5].But this technique can only be applied to virtually indexed physically tagged (VIPT) L1 DCs.…”
Section: Related Workmentioning
confidence: 99%
“…(Sensitivity Analysis) on the prediction accuracy, several efforts are made to improve the accuracy by employing PC-based prediction with feedback mechanism [20], way caching [21]. These techniques can work along with a fast speculative address generation [21], speculative tag access [22] etc., to improve the prediction accuracy further. Along with way-prediction techniques a few set-prediction techniques [23]- [25] are also proved to be effective for energy savings and performance improvement.…”
Section: Related Workmentioning
confidence: 99%