2015
DOI: 10.1117/12.2086938
|View full text |Cite
|
Sign up to set email alerts
|

Solution for high-order distortion on extreme illumination condition using computational prediction method

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2016
2016
2023
2023

Publication Types

Select...
2
1

Relationship

1
2

Authors

Journals

citations
Cited by 3 publications
(3 citation statements)
references
References 0 publications
0
3
0
Order By: Relevance
“…OM Overlay accuracy mainly due to the proxy from S/L target to device pattern has long been unresolved problem on device overlay control. The optical imaging sensitivity to the structure and process variation and pitch sensitivity to lens aberration etc., are leading to the solution of device pattern overlay measurement using SEM [2,[5][6][7][8][9][10] . With the high landing energy and efficient BSE collection, overlay measurement from device patterns of SEM AEI has been applied as overlay accuracy compensation.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…OM Overlay accuracy mainly due to the proxy from S/L target to device pattern has long been unresolved problem on device overlay control. The optical imaging sensitivity to the structure and process variation and pitch sensitivity to lens aberration etc., are leading to the solution of device pattern overlay measurement using SEM [2,[5][6][7][8][9][10] . With the high landing energy and efficient BSE collection, overlay measurement from device patterns of SEM AEI has been applied as overlay accuracy compensation.…”
Section: Discussionmentioning
confidence: 99%
“…PPE is prominent on DRAM device where the combination of small sigma Off-Axis Illumination (OAI) is applied. It has been reduced with lens aberration improvement and active feed forward controls but the requirements of residual error correction between S/L target and device have been tighter with node-to-node scaling [8][9][10][11] .…”
Section: Introductionmentioning
confidence: 99%
“…7 At and below the 10-nm node, the total overlay control at singlenanometer level becomes necessary. The range of these optically driven image edge displacements depends on the pattern shapes, 10 imaging conditions, 11 properties of individual scanners, [12][13][14] as well as postexposure patterning processes. 8 Thus, pattern placement errors arise from the pattern layout design and the imaging tool overlay performance.…”
Section: Introductionmentioning
confidence: 99%