2018 IEEE International Symposium on Circuits and Systems (ISCAS) 2018
DOI: 10.1109/iscas.2018.8351830
|View full text |Cite
|
Sign up to set email alerts
|

Software-Defined Networking Architecture for NoC-based Many-Cores

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
18
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
6

Relationship

1
5

Authors

Journals

citations
Cited by 12 publications
(18 citation statements)
references
References 12 publications
0
18
0
Order By: Relevance
“…The MCSoC system and hardware architecture was modeled using the Memphis MCSoC [24]. The baseline MPN, SR router design, and Controller were previously proposed in [4,5,9]. The design proposed in this work is public available at [25].…”
Section: Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…The MCSoC system and hardware architecture was modeled using the Memphis MCSoC [24]. The baseline MPN, SR router design, and Controller were previously proposed in [4,5,9]. The design proposed in this work is public available at [25].…”
Section: Resultsmentioning
confidence: 99%
“…SDN is a paradigm rather than an implementation or design, which drives to different proposals. Authors [3,5,6,8,14] propose a solution based on a centralized Controller. Authors in [7,9,13] address distributed approaches, aiming to improve scalability.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Ruaro et al [ 51 ], described a layered SDNoC architecture, which uses an NoC for configuration and an SDNoC for operation. This work uses cycle-accurate RTL models and VHDL implementations to obtain performance analyzes and hardware resource estimations.…”
Section: Literature Reviewmentioning
confidence: 99%
“…However, the objective of finding a general platform that suits any network for flexible chip control had a great deal in the research community. Researchers found that the concept of Software Defined Networks (SDN) can be applied to NoC [17], [18], [19], and [20]. The combination of these concepts produced a novel NoC management technology, which was called Software Defined Network on Chip (SDNoC) [21] and [22].…”
Section: Introductionmentioning
confidence: 99%