2011
DOI: 10.1109/tc.2010.166
|View full text |Cite
|
Sign up to set email alerts
|

Software-Based Self-Test of Set-Associative Cache Memories

Abstract: Embedded microprocessor cache memories suffer from limited observability and controllability creating problems during in-system tests. This paper presents a procedure to transform traditional march tests into software based self test programs for setassociative cache memories with LRU replacement. Among all the different cache blocks in a microprocessor, testing instruction caches represents a major challenge due to limitations in two areas: (i) test patterns which must be composed of valid instruction opcodes… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
26
0

Year Published

2011
2011
2020
2020

Publication Types

Select...
4
2
1

Relationship

1
6

Authors

Journals

citations
Cited by 42 publications
(26 citation statements)
references
References 38 publications
(43 reference statements)
0
26
0
Order By: Relevance
“…α identifies the set in which the line must be written and t identifies the tag written in the directory array of cache. We will not discuss the complex addressing order translations presented in [11] since, in its current implementation, our generation tools deals with direct-mapped data cache memories whose cache lines are directly addressable. According to the internal cache organization, the test approaches for data and directory array are different.…”
Section: Sbst Methodologymentioning
confidence: 99%
See 2 more Smart Citations
“…α identifies the set in which the line must be written and t identifies the tag written in the directory array of cache. We will not discuss the complex addressing order translations presented in [11] since, in its current implementation, our generation tools deals with direct-mapped data cache memories whose cache lines are directly addressable. According to the internal cache organization, the test approaches for data and directory array are different.…”
Section: Sbst Methodologymentioning
confidence: 99%
“…Most of them propose different methodologies to adapt existing March tests [7] to cache memories, taking into account the functional and/or the RTL model [8], [9], [10], [11], [12], [13], [14], [15]. Differences in the proposed approaches mainly lay in the portion of the cache addressed by the test (e.g., [12], [13], [10], [11], [9] mainly target faults in the memory array of the cache, while [14] concentrates on its control logic). Some solutions, such as the one proposed by Sosnowski in [15], also propose the use of dedicated hardware (e.g., on-chip performance monitor) to increase the SBST test capability.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…There are established methodologies for targeted testing of cache memory at very low level as found in [24]. More standard techniques are outlined in the work published in [25]. Successful application of search based software testing methodology in the area of memory system validation can be seen in the work using genetic algorithms with memory consistency model (MCM) verification as per [26].…”
Section: Related Workmentioning
confidence: 99%
“…Author defined the improvement over the CMOS technologies by reducing the memory faults over the cache. In year 2011, Mohamed Wassim Jmal [9] defined a work based on elliptical curve based algorithm to improve the aching process. The presented work is divided in stages.…”
Section: Review Of Literaturementioning
confidence: 99%