2015
DOI: 10.1109/tvlsi.2014.2384740
|View full text |Cite
|
Sign up to set email alerts
|

Soft-Core Embedded-FPGA Based on Multistage Switching Networks: A Quantitative Analysis

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
16
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
3
2
1

Relationship

1
5

Authors

Journals

citations
Cited by 8 publications
(16 citation statements)
references
References 18 publications
0
16
0
Order By: Relevance
“…One also finds synthesizable eFPGAs with a datapath-oriented structure [35]. Our proposed eFPGA is fully-synthesizable -soft IP as reported in Table I -as shown in [25] and the interconnection network is based on a multi-stage switching network [36]. This type of interconnection network allows full-routability and provides sustainable area overhead in small-size devices.…”
Section: Embedded Fpgasmentioning
confidence: 99%
See 2 more Smart Citations
“…One also finds synthesizable eFPGAs with a datapath-oriented structure [35]. Our proposed eFPGA is fully-synthesizable -soft IP as reported in Table I -as shown in [25] and the interconnection network is based on a multi-stage switching network [36]. This type of interconnection network allows full-routability and provides sustainable area overhead in small-size devices.…”
Section: Embedded Fpgasmentioning
confidence: 99%
“…In this section we describe our proposed system architecture. We propose a digital circuit, as introduced in [13], which consists of a PULPino microcontroller [15] coupled with an embedded FPGA template [25] [38]. The interfacing is done through an AMBA Advanced Peripheral Bus (APB) as shown in Fig.…”
Section: System-level Architecturementioning
confidence: 99%
See 1 more Smart Citation
“…The digital system is composed of the open-source ultralowpower microcontroller PULPino [7] coupled with our softcore eFPGA [6]. Interfacing is done through AMBA Advanced Peripheral Bus (APB) as shown in Fig.…”
Section: System-level Architecturementioning
confidence: 99%
“…The introduction of reconfigurable SoCs in the Smart-Power arena is a new challenge for the technologies driving this area, due to the peculiarity of both system and technology. In this context, we present an energy-aware analysis of a Smart-Power SoC integrating a soft-core eFPGA architecture [6] tailored to small-computational tasks within the open-source PULPino microcontroller system [7] on STMicroelectronics 0.13 µm BCD technology.…”
Section: Introductionmentioning
confidence: 99%