2016
DOI: 10.1109/tns.2015.2509443
|View full text |Cite
|
Sign up to set email alerts
|

Single-Event Transient Sensitivity Evaluation of Clock Networks at 28-nm CMOS Technology

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
11
0

Year Published

2017
2017
2020
2020

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 18 publications
(11 citation statements)
references
References 13 publications
0
11
0
Order By: Relevance
“…For the campaign 170 SEUs were injected in each of the 1233 flip-flops. 57150 of the injected faults showed a (4) rx_eq0.crc32_d64(0) tx_dq0.crc32_d64 (18) rx_eq0.crc32_d8 (15) rx_eq0.crc32_d64(24) tx_dq0.crc32_d64(21) rx_eq0.xgxs_rxd_barrel (7) rx_eq0.xgxs_rxd_barrel (4) tx_dq0.crc32_d64(24) rx_eq0.crc32_d8 (14) Functional Failure Rate…”
Section: Results For Seus In the Sequential Logicmentioning
confidence: 99%
See 1 more Smart Citation
“…For the campaign 170 SEUs were injected in each of the 1233 flip-flops. 57150 of the injected faults showed a (4) rx_eq0.crc32_d64(0) tx_dq0.crc32_d64 (18) rx_eq0.crc32_d8 (15) rx_eq0.crc32_d64(24) tx_dq0.crc32_d64(21) rx_eq0.xgxs_rxd_barrel (7) rx_eq0.xgxs_rxd_barrel (4) tx_dq0.crc32_d64(24) rx_eq0.crc32_d8 (14) Functional Failure Rate…”
Section: Results For Seus In the Sequential Logicmentioning
confidence: 99%
“…The generation of a virtual clock network enables an analysis of the circuit in earlier design stages with regard to clock network issues (such as SETs) and allows the evaluation of different clock network features, such as the fan-out, layout or topology. In fact, recent work has shown that topology and gate load play a significant role in the overall SET sensitivity of clock networks [14].…”
Section: B Virtual Clock Networkmentioning
confidence: 99%
“…But, the participation of heavy ions caused the huge size errors in buffered clock tree structure. Wang et al [9] presented and evaluated the two type of clock networks such as clock meshes and the buffered clock tree. They achieved more robustness to SET with the high-protection of buffered clock tree.…”
Section: Related Workmentioning
confidence: 99%
“…• The Single Event Error (SEE) rates were high [9] due to the strong dependence of single event sensitivity.…”
Section: Related Workmentioning
confidence: 99%
“…When the electronic circuits are operated in radiation environment such as space, the circuits deviate from their expected behaviour leading to malfunction. Single-event transient (SET) is one of the radiation effects [1][2][3][4][5] that causes a transient current spike at the output of the struck device. The effect of such a transient current pulse or spike is that it will propagate through the logic gate circuitry and can be latched in a memory element such as flip-flop (FF) as an erroneous logic state [6].…”
Section: Introductionmentioning
confidence: 99%