2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS) 2014
DOI: 10.1109/nocs.2014.7008766
|View full text |Cite
|
Sign up to set email alerts
|

Sharing and placement of on-chip laser sources in silicon-photonic NoCs

Abstract: Silicon-photonic links are projected to replace the electrical links for global on-chip communications in future manycore systems. The use of off-chip laser sources to drive these silicon-photonic links can lead to higher link losses, thermal mismatch between laser source and on-chip photonic devices, and packaging challenges. Therefore, on-chip laser sources are being evaluated as candidates to drive the on-chip photonic links. In this paper, we first explore the power, efficiency and temperature tradeoffs as… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
28
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 28 publications
(28 citation statements)
references
References 36 publications
0
28
0
Order By: Relevance
“…Given that all laser sources output the same wavelengths, there is further potential in our design for laser source sharing. Recent studies showed that sharing a laser source over up to 16 waveguides is beneficial in terms of laser power [31], before splitter loss starts to neutralize the benefits again. Moreover, placing laser sources on the edges of the chipwhere temperature fluctuations are less frequent [32]decreases the impact of temperature on the laser efficiency and, in turn, laser power, and does not constitute a major imposition on path loss at current waveguide loss parameters of 0.1dB/mm [21].…”
Section: Vlsi Layout Of the Data Networkmentioning
confidence: 99%
“…Given that all laser sources output the same wavelengths, there is further potential in our design for laser source sharing. Recent studies showed that sharing a laser source over up to 16 waveguides is beneficial in terms of laser power [31], before splitter loss starts to neutralize the benefits again. Moreover, placing laser sources on the edges of the chipwhere temperature fluctuations are less frequent [32]decreases the impact of temperature on the laser efficiency and, in turn, laser power, and does not constitute a major imposition on path loss at current waveguide loss parameters of 0.1dB/mm [21].…”
Section: Vlsi Layout Of the Data Networkmentioning
confidence: 99%
“…6 b). Another benefit of tuning the receiver is allowing the sharing of onchip lasers [27]. In summary, for optical links using on-chip DFB lasers, we could tune either the laser output power or the receiver sensitivity.…”
Section: Adaptive Tuning Approachmentioning
confidence: 99%
“…For a multi-receiver link, its hardware cost can be amortized by sharing the BER monitor, as the multiple receivers on one link cannot receive signal simultaneously. In this way, for a 64-cluster crossbar with 64 WDM channels, the total area cost of the BER monitors is only 1.0% of the chip area (366.1 mm 2 in [27]). The tuning power overhead is avoided at runtime as the BER monitor circuit is switched off after the tuning process is complete.…”
Section: Adaptive Tuning Approachmentioning
confidence: 99%
See 1 more Smart Citation
“…The signal then needs to be fed to a photodetector. An optical station typically corresponds to a set of cores (2)(3)(4)(5)(6)(7)(8). The individual cores are connected by electrical links to the optical stations.…”
Section: Introductionmentioning
confidence: 99%