2010 IEEE International Symposium on Parallel &Amp; Distributed Processing, Workshops and PHD Forum (IPDPSW) 2010
DOI: 10.1109/ipdpsw.2010.5470749
|View full text |Cite
|
Sign up to set email alerts
|

Self-configurable architecture for reusable systems with Accelerated Relocation Circuit (SCARS-ARC)

Abstract: Field Programmable Gate Arrays (FPGAs), with partial reconfiguration (PR) technology present an attractive option for creating reliable platforms that adapt to changes in user objectives over time and respond to hardware/software anomalies automatically with selfhealing action. Conventional solutions for partial reconfiguration based self-configurable architectures experience severe hardware limitations on ability to move any partially reconfigurable module to any available region of the reconfigurable fabric … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2010
2010
2013
2013

Publication Types

Select...
2
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(2 citation statements)
references
References 6 publications
(11 reference statements)
0
2
0
Order By: Relevance
“…Much recent research has targeted field programmable gate arrays (FPGAs) as a way to produce self-healing, adaptive architectures necessary for mission-critical systems. Sreeramareddy et al "present an attractive option for creating reliable platforms that adapt to changes in user objectives over time and respond to hardware/software anomalies automatically with self-healing action" [16]. The first implementation of their technique involves a two-level hierarchical self-testing, at the component and network levels, that strives to identify faults at both fine and coarse granularity, with the intent of reducing the amount of logic required [17].…”
Section: Attributes 3 and 6: Self-healing And Flexibly Sustainedmentioning
confidence: 99%
See 1 more Smart Citation
“…Much recent research has targeted field programmable gate arrays (FPGAs) as a way to produce self-healing, adaptive architectures necessary for mission-critical systems. Sreeramareddy et al "present an attractive option for creating reliable platforms that adapt to changes in user objectives over time and respond to hardware/software anomalies automatically with self-healing action" [16]. The first implementation of their technique involves a two-level hierarchical self-testing, at the component and network levels, that strives to identify faults at both fine and coarse granularity, with the intent of reducing the amount of logic required [17].…”
Section: Attributes 3 and 6: Self-healing And Flexibly Sustainedmentioning
confidence: 99%
“…Circuit [16]. This technique allows flexible module relocation, less communication overhead, and faster performance for relocation over more traditional techniques.…”
Section: Attributes 3 and 6: Self-healing And Flexibly Sustainedmentioning
confidence: 99%