2016 IEEE International Symposium on High Performance Computer Architecture (HPCA) 2016
DOI: 10.1109/hpca.2016.7446069
|View full text |Cite
|
Sign up to set email alerts
|

SCsafe: Logging sequential consistency violations continuously and precisely

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
7
0

Year Published

2018
2018
2023
2023

Publication Types

Select...
5
3
1

Relationship

0
9

Authors

Journals

citations
Cited by 11 publications
(7 citation statements)
references
References 24 publications
0
7
0
Order By: Relevance
“…Since clients can exchange messages with each other and with different replicas, they may see seemingly contradictory information, like missing files, older versions of files they have already updated, or more subtle violations, as discussed in the literature [22,27]. Stronger consistency models provide better guarantees, but are slower, less available, and less scalable, whereas weaker consistency models force programmers to cope with the insufficiencies of the contract.…”
Section: Consistency Trade-offsmentioning
confidence: 99%
“…Since clients can exchange messages with each other and with different replicas, they may see seemingly contradictory information, like missing files, older versions of files they have already updated, or more subtle violations, as discussed in the literature [22,27]. Stronger consistency models provide better guarantees, but are slower, less available, and less scalable, whereas weaker consistency models force programmers to cope with the insufficiencies of the contract.…”
Section: Consistency Trade-offsmentioning
confidence: 99%
“…Like Peacenik, BulkSMT supports pausing in a non-speculative setting, but provides a consistency guarantee only with respect to the compiled program (serializability of bounded chunks), not an end-to-end guarantee [50]. SCsafe detects dependency cycles due to SC violations or false sharing, in order to enforce SC and log violations of SC [20]. In contrast, Peacenik detects deadlocks that it has introduced by pausing at detected region conflicts.…”
Section: Avoiding Failure Under Fail-stop Semanticsmentioning
confidence: 99%
“…Researchers have sought to provide stronger end-to-end (i.e., with respect to the source program) memory consistency by restricting compiler and hardware optimizations-notably by providing end-to-end SC [5,58,61,64]. (Restricting only hardware [16,20,26,38,39,52] or the compiler [45] fails to provide end-to-end SC. )…”
Section: Introductionmentioning
confidence: 99%
“…On average, SoPro incurs a 1.73x overhead over the baseline system when no lock checks are inlined. Several schemes have been proposed for detecting sequential-consistency violations with custom hardware support [18,39,42]. These schemes detect a cycle of data races, which indicates that SC has been compromised, and Figure 11.…”
Section: Optimizationsmentioning
confidence: 99%