2021
DOI: 10.1002/cpe.6580
|View full text |Cite
|
Sign up to set email alerts
|

duf: Dynamic uncore frequency scaling to reduce power consumption

Abstract: Reducing the power consumption of applications has become one of the key challenges in high-performance computing. Recent processor architectures differentiate processor core frequency from its uncore frequency. As a consequence, in addition to tuning processor core frequency with Dynamic Voltage and Frequency Scaling (DVFS), power consumption can also be controlled through Uncore Frequency Scaling (UFS).This paper studies how the uncore frequency can be used as a leverage to improve power consumption. We prop… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
5
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 9 publications
(5 citation statements)
references
References 15 publications
0
5
0
Order By: Relevance
“…The next phase is focused on building the UFS policy. The literature [ 12 , 15 , 16 , 17 ] uses a feedback-based single-step tuning-type algorithm for the design, where [ 12 , 15 , 17 ] decides the next action based on the impact of the current time-step uncore tuning action on the processor performance and power consumption. The literature [ 16 ] similarly evaluates the actual impact brought by the current action and decides on the subsequent action based on the feedback state.…”
Section: Related Workmentioning
confidence: 99%
See 2 more Smart Citations
“…The next phase is focused on building the UFS policy. The literature [ 12 , 15 , 16 , 17 ] uses a feedback-based single-step tuning-type algorithm for the design, where [ 12 , 15 , 17 ] decides the next action based on the impact of the current time-step uncore tuning action on the processor performance and power consumption. The literature [ 16 ] similarly evaluates the actual impact brought by the current action and decides on the subsequent action based on the feedback state.…”
Section: Related Workmentioning
confidence: 99%
“…The expert policy consists of three processor behavior prediction models and an optimal selector, and the output of it can be represented by Equation (5). Considering the important impact of uncore on the computational and memory access behavior of the processor, we combine the literature [ 15 , 23 ] to model the performance of the processor under this problem. The role of the optimal selector is to choose the frequency value corresponding to the highest power efficiency that the processor can achieve by changing the uncore frequency in the current state .…”
Section: Imitation Learning-based Ufs Policymentioning
confidence: 99%
See 1 more Smart Citation
“…Finally, we have compared the average DC node power variation and the average RAPL PCK (package) power when using the ME+eU policy. All the papers in the related work (see VII) use the RAPL PCK power as reference to evaluate energy and power savings as well as for developing their controller-based policy like [18], [19]. The PCK power is a non constant percentage of the DC node power, this is the reason why we think we must evaluate energy policies with DC node power.…”
Section: B Applicationsmentioning
confidence: 99%
“…They show results for some class C NPB and class D ECP proxy applications suites, running up to 16 Broadwell with two Intel Xeon CPU E5-2620 v4 @ 2.10GHz with eight cores each. Authors of [19] find a similar approach but this time they use memory bandwidth and FLOPS as metrics for their controller, but also checks for L3 cache bandwidth changes to detect phase changes. They also compare their result with the last mentioned [18], getting similar results on power savings but improving performance penalty on Broadwell and Skylake microarchitectures, using class C for most of NPB on first testbed and class D for the second one.…”
Section: Related Workmentioning
confidence: 99%