2016
DOI: 10.1002/pssa.201532549
|View full text |Cite
|
Sign up to set email alerts
|

SciFab -a wafer-level heterointegrated InP DHBT/SiGe BiCMOS foundry process for mm-wave applications

Abstract: We present a wafer-level heterointegrated indium phosphide double heterobipolar transistor on silicon germanium bipolarcomplementary metal oxide semiconductor (InP DHBT on SiGe BiCMOS) process which relies on adhesive wafer bonding. Subcircuits are co-designed in both technologies, SiGe BiCMOS and InP DHBT, with more than 300 GHz bandwidth microstrip interconnects. The 250 nm SiGe HBTs offer cutoff frequencies around 200 GHz, the 800 nm InP DHBTs exceed 350 GHz. Heterointegrated signal sources are demonstrated… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
21
0

Year Published

2018
2018
2021
2021

Publication Types

Select...
4
3

Relationship

1
6

Authors

Journals

citations
Cited by 37 publications
(21 citation statements)
references
References 22 publications
(24 reference statements)
0
21
0
Order By: Relevance
“…1), verifiable in a focused ion beam cross section shown in [8]. Furthermore, the transferred substrate process flow is amenable to post-CMOS monolithic heterogeneous integration [8], enabling system-on-chip integration of terahertz functionality.…”
Section: Introductionmentioning
confidence: 91%
See 1 more Smart Citation
“…1), verifiable in a focused ion beam cross section shown in [8]. Furthermore, the transferred substrate process flow is amenable to post-CMOS monolithic heterogeneous integration [8], enabling system-on-chip integration of terahertz functionality.…”
Section: Introductionmentioning
confidence: 91%
“…This process enables the replacement of extrinsic semiconductor material ( r ∼ 12) by low-k benzocyclobutene (BCB) with r = 2.65 in the extrinsic collector region (Fig. 1), verifiable in a focused ion beam cross section shown in [8]. Furthermore, the transferred substrate process flow is amenable to post-CMOS monolithic heterogeneous integration [8], enabling system-on-chip integration of terahertz functionality.…”
Section: Introductionmentioning
confidence: 96%
“…The main reason for the high performance of InP-based technologies is the combination of the wide gap of InP (1.34 eV) with the high electron drift velocity (more than 2 × 10 7 cm/s) [62]. The key performance parameters of HEMTs include the gate length, the gate-source capacitance and the transconductance (which have a direct correspondence to HBT parameters in Equations (1) and (3)), as well as the FET-related parameter of the saturation velocity [2,59].…”
Section: Emerging Transistor Technologies Capable Of Operating In mentioning
confidence: 99%
“…The biggest drawback of any III-V technology, including InP, has always been the limited variety of circuits that can be fabricated [62]. Pure HBT technologies, such as InP DHBT, would typically just be used to fabricate millimeter-wave or THz circuits, while the remaining circuits (e.g., digital circuits and the analog front end) would be fabricated in CMOS.…”
Section: Emerging Transistor Technologies Capable Of Operating In mentioning
confidence: 99%
See 1 more Smart Citation