2021
DOI: 10.1109/tetc.2019.2940750
|View full text |Cite
|
Sign up to set email alerts
|

ScanSAT: Unlocking Static and Dynamic Scan Obfuscation

Abstract: While financially advantageous, outsourcing key steps, such as testing, to potentially untrusted Outsourced Assembly and Test (OSAT) companies may pose a risk of compromising on-chip assets. Obfuscation of scan chains is a technique that hides the actual scan data from the untrusted testers; logic inserted between the scan cells, driven by a secret key, hides the transformation functions that map the scan-in stimulus (scan-out response) and the delivered scan pattern (captured response). While static scan obfu… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
14
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
3
2

Relationship

2
6

Authors

Journals

citations
Cited by 31 publications
(14 citation statements)
references
References 43 publications
0
14
0
Order By: Relevance
“…Attackers who own the reverse-engineered netlist can resort to ScanSAT attack [22]. Based on a SAT solver, it targets scan protection methods that corrupt scan data in the absence of a secret key.…”
Section: Discussionmentioning
confidence: 99%
See 1 more Smart Citation
“…Attackers who own the reverse-engineered netlist can resort to ScanSAT attack [22]. Based on a SAT solver, it targets scan protection methods that corrupt scan data in the absence of a secret key.…”
Section: Discussionmentioning
confidence: 99%
“…However, as is the case with logic locking, the obfuscated scan output reflects the inversion effect of key-gates and it can be used to trace back to the secret key. ScanSAT attack is indeed capable of modelling the locked scan chains as a combination [22] Shift & Leak [33] Encrypt Flip-Flop [26] × Dynamic Scan Obfuscation [32] × Secure Cell [25] × Proposed denotes resilience against the attack × denotes susceptibility to the attack of key-gates inserted at PPIs and PPOs. Using the same attack model as SAT attack, the secret key is deduced by the SAT solver.…”
Section: Comparison With Related Workmentioning
confidence: 99%
“…We modify the code-base to dump a conjunctive normal form (CNF) after each iteration, which may reveal some of the seed bits. Unlike [16], we can carry out our attack for just one capture cycle. To recover more bits, we restart the LFSR circuit and obtain a new DIP and its corresponding output pattern from the SAT tool, and recover more seed bits.…”
Section: A Attack Methodologymentioning
confidence: 99%
“…The defense in [13] can be viewed as the most rigorous, and thus, the most secure, dynamic scan locking defense. Indeed, the defense in [12] was broken recently even for its most rigorous version where the key is updated for every pattern (p=1) [16]. Yet, the defense in [13] remains unbroken.…”
Section: Introductionmentioning
confidence: 99%
“…While DisORC demonstrates resilience against oracle-guided attacks, it is only applied at the gate-level for a locked netlist. Scan locking techniques [18], [19], [20] which insert key-driven logic on scan paths are also shown to be vulnerable to modeling-based attacks [21], [22].…”
Section: B Functional Mode Isolationmentioning
confidence: 99%