2022
DOI: 10.1021/acs.jpcc.1c10816
|View full text |Cite
|
Sign up to set email alerts
|

Relation between Reactive Surface Sites and Precursor Choice for Area-Selective Atomic Layer Deposition Using Small Molecule Inhibitors

Abstract: Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precurso… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
28
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
8

Relationship

2
6

Authors

Journals

citations
Cited by 22 publications
(30 citation statements)
references
References 83 publications
0
28
0
Order By: Relevance
“…DMAI is a heteroleptic precursor and less reactive than trimethyl aluminum, which is the most commonly used precursor for Al 2 O 3 ALD. 13 Our previous study 14 and other studies 15,16 have reported improved growth inhibition using DMAI as the Al precursor during area-selective ALD of Al 2 O 3 based on small molecule inhibitors. In an ALD cycle, the chamber was first filled with B30 mtorr of DAMI vapor with varied trapping time, followed by a 20 s Ar purge step.…”
mentioning
confidence: 95%
“…DMAI is a heteroleptic precursor and less reactive than trimethyl aluminum, which is the most commonly used precursor for Al 2 O 3 ALD. 13 Our previous study 14 and other studies 15,16 have reported improved growth inhibition using DMAI as the Al precursor during area-selective ALD of Al 2 O 3 based on small molecule inhibitors. In an ALD cycle, the chamber was first filled with B30 mtorr of DAMI vapor with varied trapping time, followed by a 20 s Ar purge step.…”
mentioning
confidence: 95%
“…Most of the conventional fabrication processes are designed on the basis of the top-down approach, comprising numerous deposition, lithography, and etching steps. , At small feature sizes, traditional top-down approaches suffer from alignment issues between the patterning steps . Therefore, processes exploiting the properties of the underlying pattern instead of purely relying on metrology and patterning precision are needed. , During the past decade, area-selective deposition (ASD), a bottom-up alternative enabling self-aligned fabrication schemes, has gained considerable attention in academia and industry. ASD refers to processes that deposit the target material on the areas where the growth is desired (i.e., growth areas), while deposition is avoided on the neighboring areas where the growth is not desired (non-growth areas). The selectivity of deposition on the growth areas can be achieved by exploiting differences in the chemical or physical properties, such as different material compositions, surface terminations, or lattice properties. ,,, …”
Section: Introductionmentioning
confidence: 99%
“…As the advanced semiconductor technology rapidly moves toward the 3 nm technology node and beyond, complicated multilayer structures in integrated circuits highly call for precise alignment . As a consequence, the conventional top–down fabrication processes based on extreme ultraviolet lithography and etching techniques severely suffer from misalignment (edge placement error) and more intricate fabrication processes, which has become a critical bottleneck hindering the evolution of semiconductor technology. In the face of rigorous challenges, area-selective atomic layer deposition (AS-ALD) has been proposed as an enabling technology for novel bottom-up and self-aligned fabrication. ,, AS-ALD is capable of selectively depositing ultrathin films on the growth area (GA) and not upon the non-GA (NGA), which possesses a high potential to reduce the lithography and etching steps in advanced semiconductor technology nodes. For example, the fully self-aligned via (FSAV) structure has been regarded as one of the important applications of AS-ALD. , The FSAV technique can enhance the reliability of integrated circuits by increasing the distance between the neighboring metal vias. Conventionally, the FSAV topography was fabricated by lithography and recess etching processes, which results in damage to metal surfaces .…”
Section: Introductionmentioning
confidence: 99%
“…For instance, trimethylaluminum (TMA, which is a commonly used ALD precursor) has to be replaced by dimethylaluminum isopropoxide (DMAI) or tris(dimethylamino)aluminum (TDMAA) to prevent selectivity loss. 1 However, the DMAI and TDMAA precursors suffer from lower yield and poor film quality as compared with the TMA precursor. 19 Therefore, a novel approach toward the realization of AS-ALD without the need for any SAMs and inhibitors is highly favorable to prevent the disadvantages including time consumption, poor thermal stability, selectivity loss, and the limitation of precursors.…”
Section: ■ Introductionmentioning
confidence: 99%
See 1 more Smart Citation