2017
DOI: 10.2494/photopolymer.30.93
|View full text |Cite
|
Sign up to set email alerts
|

Recent Progress in EUV Metal Oxide Photoresists

Abstract: EUV lithography is a promising candidate for the manufacturing of semiconductor devices for the 7 nm node and beyond. The success of any lithography depends on the availability of a suitable resist with high resolution, sensitivity and low LWR. Though polymer type CAR (chemically amplified resist) is the current standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. To meet this target, metal oxide photoresists have been designed and lithograph… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
6
0

Year Published

2018
2018
2024
2024

Publication Types

Select...
5
1

Relationship

1
5

Authors

Journals

citations
Cited by 9 publications
(6 citation statements)
references
References 10 publications
0
6
0
Order By: Relevance
“…To circumvent the challenges posed by conventional resist materials, efforts have recently begun focusing on a new class of materials, hybrid inorganic–organic clusters, composed of an inorganic metal or metal oxide core or nanoparticle capped with organic ligands. These systems, first developed at Cornell University, possess properties that are inherently amenable to EUV patterning, such as comparatively strong EUV absorption from its inorganic core, high etch resistance, and the ability to tailor their chemistry through tunable ligand terminations. One such system that has demonstrated high sensitivity for negative-tone patterning consists of a hafnium oxide core capped with methacrylic acid (MAA) ligands (HfMAA).…”
Section: Introductionmentioning
confidence: 99%
“…To circumvent the challenges posed by conventional resist materials, efforts have recently begun focusing on a new class of materials, hybrid inorganic–organic clusters, composed of an inorganic metal or metal oxide core or nanoparticle capped with organic ligands. These systems, first developed at Cornell University, possess properties that are inherently amenable to EUV patterning, such as comparatively strong EUV absorption from its inorganic core, high etch resistance, and the ability to tailor their chemistry through tunable ligand terminations. One such system that has demonstrated high sensitivity for negative-tone patterning consists of a hafnium oxide core capped with methacrylic acid (MAA) ligands (HfMAA).…”
Section: Introductionmentioning
confidence: 99%
“…Metal-oxide-based resist with organic ligands show immense potential for EUV lithography owing to their higher absorption cross section at 92 eV as well as higher etch resistance [9]. In this work, we report a PES study on tin-based open-source metal oxide (OSMO) model photoresist.…”
Section: Osmo Photoelectron Spectrummentioning
confidence: 99%
“…Although appropriate line patterns with a 24 nm width and 120 nm pitch are realized, the resolution and line-edge roughness need to be further improved (i.e. material reaction mechanisms to EUV light and environment are known to cause changes in material dissolution properties in developer solution, which is a factor in improving pattern quality 12) ). Understanding the reaction mechanism of the metal resists is indispensable for further improvements.…”
Section: Introductionmentioning
confidence: 99%