2011
DOI: 10.2494/photopolymer.24.25
|View full text |Cite
|
Sign up to set email alerts
|

Recent Advancements in EUV Resist Materials and Process Performance

Abstract: Resist ability to simultaneously meet the requirements for Resolution, LER and Sensitivity (RLS) remains one of the critical issues EUV Lithography is facing. As good progress in resolution and sensitivity have been made, nowadays LER and pattern collapse are considered as the two main items requiring improvement. In this paper, the current performance of EUV resist on the ASML ADT is reviewed and the effectiveness of process improvements for pattern collapse and LER reduction has been investigated. Results ar… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
9
0

Year Published

2012
2012
2019
2019

Publication Types

Select...
5
1

Relationship

0
6

Authors

Journals

citations
Cited by 6 publications
(9 citation statements)
references
References 10 publications
0
9
0
Order By: Relevance
“…119) Reports have shown that improvements of LWR 119) and sensitivity 14) can be achieved for certain resists with this developer. One mechanism proposed to define the reason for this resist selectivity with TBAH is the existence of the resist surface modification phenomenon when using this developer.…”
Section: Developmentmentioning
confidence: 99%
See 1 more Smart Citation
“…119) Reports have shown that improvements of LWR 119) and sensitivity 14) can be achieved for certain resists with this developer. One mechanism proposed to define the reason for this resist selectivity with TBAH is the existence of the resist surface modification phenomenon when using this developer.…”
Section: Developmentmentioning
confidence: 99%
“…Such collaborations have led to the investigation and realization of new and exciting resist platforms 5,[11][12][13] and processes. 3,14,15) These works have also shown a number of issues and challenges that need to be considered as the semiconductor industry moves to more stringent technology nodes. 16) Against this background of such international collaboration, the EUVL symposium has been organized every year by SEMATECH, IMEC, and Selete (later EIDEC).…”
Section: Introductionmentioning
confidence: 99%
“…Self Aligned Double Patterning [4] for 3x nm and 2x nm. Further size reduction requires triple or quadruple patterning, and it causes huge increase of CoO and the difficulty of overlay [5] Extreme ultraviolet (EUV) lithography has been the favorite candidate of successor of immersion ArF [6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24] [26][27][28][29][30][31][32][33][34]. Application of DSA materials may extend immersion ArF and support the rise of EUV.…”
Section: Introductionmentioning
confidence: 99%
“…have shown potential results. For resist related processes; non-conventional baking methods [8] , developer [8][9][10][11] , and rinse solutions [9][10][11] have been proposed to further improve the lithographic performance of presently available resists. This shift to new resist materials / processes suggests the need for more fundamental studies in better understanding and achieving the stringent targets required.…”
Section: Introductionmentioning
confidence: 99%