2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) 2018
DOI: 10.1109/fccm.2018.00030
|View full text |Cite
|
Sign up to set email alerts
|

RapidWright: Enabling Custom Crafted Implementations for FPGAs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
25
0

Year Published

2019
2019
2022
2022

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 82 publications
(29 citation statements)
references
References 11 publications
0
25
0
Order By: Relevance
“…The Read A and Transpose modules are connected with a series of FIFOs, the number of which is determined by the desired memory efficiency in reading A from DRAM. In our provided implementation, PEs are connected in a 1D sequence, and can thus be routed across the FPGA in a "snakelike" fashion [16] to maximize resource utilization with minimum routing constraints introduced by the module interconnect. The PE architecture is shown in Fig.…”
Section: Final Module Layoutmentioning
confidence: 99%
See 1 more Smart Citation
“…The Read A and Transpose modules are connected with a series of FIFOs, the number of which is determined by the desired memory efficiency in reading A from DRAM. In our provided implementation, PEs are connected in a 1D sequence, and can thus be routed across the FPGA in a "snakelike" fashion [16] to maximize resource utilization with minimum routing constraints introduced by the module interconnect. The PE architecture is shown in Fig.…”
Section: Final Module Layoutmentioning
confidence: 99%
“…They often rely on abstracting away many hardware details, assuming several idealized processing units with local memory and all-to-all communication [2,5,8,9]. Those assumptions do not hold for FPGAs, where the physical area size of custom-designed processing elements (PEs) and their layout are among most important concerns in designing efficient FPGA implementations [16]. Therefore, performance modeling for reconfigurable architectures requires taking constraints like logic resources, fan-out, routing, and on-chip memory characteristics into account.With an ever-increasing diversity in available hardware platforms, and as low-precision arithmetic and exotic data types are becoming key in modern DNN [17] and linear solver [18] applications, extensibility and flexibility of hardware architectures will be crucial to stay competitive.…”
mentioning
confidence: 99%
“…Tools that fall into this category include RapidSmith [8] and Torc [9], which interface with Xilinx ISE, as well as RapidSmith2 [2] and RapidWright [10], which both interface with Vivado.…”
Section: Third-party Cad Tools and Related Workmentioning
confidence: 99%
“…Verilog-to-Routing (VTR) [7] is one example of an alternative CAD suite and has been commonly used for experimentation on hypothetical FPGA architectures. Tools which can target Xilinx FPGAs have also been developed, such as RapidSmith [8], Torc [9], RapidSmith2, [2] and RapidWright [10]. However, these tools have traditionally returned to the vendor tools at least to generate final bitstreams.…”
mentioning
confidence: 99%
“…This so called register recycling reduces significantly the amount of inserted registers. The optimized results are then implemented by inserting the pipeline registers using RapidWright [7]. RapidWright is an open-source, Javabased framework from Xilinx, which allows user to access lower level architecture details and make netlist level manipulations, using high-level Java programming.…”
Section: Introductionmentioning
confidence: 99%