2011 International Electron Devices Meeting 2011
DOI: 10.1109/iedm.2011.6131603
|View full text |Cite
|
Sign up to set email alerts
|

Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain walls

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
29
0

Year Published

2014
2014
2017
2017

Publication Types

Select...
5
2
1

Relationship

0
8

Authors

Journals

citations
Cited by 61 publications
(29 citation statements)
references
References 7 publications
0
29
0
Order By: Relevance
“…To the best of our knowledge, there is no prior work using DWM to implement variable length FIFO queues suitable for utilization in a NoC. However, a fixed-length shift register, realized by perpendicular magnetic anisotropy (PMA) technology, has been demonstrated [4,12]. In addition to improvements which focus on emerging memories, a substantial amount of research has been performed to reduce the number and optimize the usage of network buffers.…”
Section: Background and Related Workmentioning
confidence: 98%
“…To the best of our knowledge, there is no prior work using DWM to implement variable length FIFO queues suitable for utilization in a NoC. However, a fixed-length shift register, realized by perpendicular magnetic anisotropy (PMA) technology, has been demonstrated [4,12]. In addition to improvements which focus on emerging memories, a substantial amount of research has been performed to reduce the number and optimize the usage of network buffers.…”
Section: Background and Related Workmentioning
confidence: 98%
“…From the sum and carry logic circuit realized in [10], the non-volatile DW nanowire is found to be the most suitable device for implementing the in-memory logic of the proposed block-level architecture. DW nanowire [15], [16], [17] is itself a non-volatile leakage-free memory device as it uses spin rather than charge as the physical state variable for storing information. As will be illustrated in later section, the inherent match between the characteristics of DW nanowire and the key logic operations of AES has drastically reduced the circuit complexity.…”
Section: Proposed Dw-nanowire Based In-memory Computing Architecmentioning
confidence: 99%
“…Beyond high-density and standby-powerfree memory [13], spintronic is also a promising technology for big-data storage with logic-in-memory computing capability. Such capability was first exploited in our preliminary design of DW-AES [14] based on the emerging domain-wall (DW) nanowire [15], [16], [17], [18], [19]. In this paper, the key differentiation of block-level from cell-level in-memory computing architecture that enables the full mapping of AES operations by DW nanowire devices is elaborated for the first time.…”
Section: Introductionmentioning
confidence: 99%
“…Also, the read-operation will not contribute in-cell delay. The delay of shift-operation can be calculated by (12) in which v prop is the domain-wall propagation velocity that can be calculated by Equation 7. The Joule heat caused by the injected current is calculated as the shift-operation dynamic energy.…”
Section: Domain-wall Nanowire Based Main Memorymentioning
confidence: 99%
“…For example, STT-RAM is considered as the second-generation of spin-based memory, which has sub-nanosecond magnetization switching time and sub-pJ switching energy [8], [9], [10]. As the thirdgeneration of spin-based memory, domain-wall nanowire, also known as racetrack memory [11], [12], is a newly introduced NVM device that can have multiple bits densely packed in one single nanowire, where each bit can be accessed by the manipulation of the domain-wall. Compared with STT-RAM, the domain-wall nanowire is able to provide the similar speed and power but with much higher density or throughput [13].…”
Section: Introductionmentioning
confidence: 99%