2007
DOI: 10.1109/ats.2007.4388028
|View full text |Cite
|
Sign up to set email alerts
|

Programmable Logic BIST for At-speed Test

Abstract: In this paper, we propose a novel programmable logic BIST controller that can facilitate at-speed test for the design with multiple clock domains and multiple clock frequencies. Moreover, a static analysis method is also proposed to optimize the BIST test pattern allocation for testing the timing faults in different intra/inter clock domains when the maximum number of applied BIST test patterns is specified. Experimental results show the effectiveness of the proposed method on achieving higher test coverage th… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2013
2013
2013
2013

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(1 citation statement)
references
References 4 publications
(5 reference statements)
0
1
0
Order By: Relevance
“…When doing test pattern generation for the delay defects, the types of fault models, such as the transition fault model, have been used extensively in industry [11]. To test the transition-delay faults used the fast clock, the PLL logic which can output the clock multiplier in the SOC must be used.…”
Section: A Occ Controller For At-speed Scan Testmentioning
confidence: 99%
“…When doing test pattern generation for the delay defects, the types of fault models, such as the transition fault model, have been used extensively in industry [11]. To test the transition-delay faults used the fast clock, the PLL logic which can output the clock multiplier in the SOC must be used.…”
Section: A Occ Controller For At-speed Scan Testmentioning
confidence: 99%