2022
DOI: 10.1109/tcad.2022.3149977
|View full text |Cite
|
Sign up to set email alerts
|

Preplacement Net Length and Timing Estimation by Customized Graph Neural Network

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(2 citation statements)
references
References 27 publications
0
2
0
Order By: Relevance
“…However, accurate timing information can be accessed only after routing stage, which is time-consuming. To estimate pre-routing timing metrics, machine learning has been introduced for timing prediction, such as delay (Barboza et al 2019;Yang, He, and Cao 2022), wirelength (Xie et al 2021;, to guide timing-driven cell placement.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…However, accurate timing information can be accessed only after routing stage, which is time-consuming. To estimate pre-routing timing metrics, machine learning has been introduced for timing prediction, such as delay (Barboza et al 2019;Yang, He, and Cao 2022), wirelength (Xie et al 2021;, to guide timing-driven cell placement.…”
Section: Introductionmentioning
confidence: 99%
“…However, in circuits graphs, signals travel from primary inputs to timing endpoints, forming long timing paths (Hu, Sinha, and Keller 2014), where long range dependencies and global view play a critical role but they are hard for typical GNN to handle. Previous methods for other timing metric (delay, wirelength) prediction (Xie et al 2018;Barboza et al 2019;Ghose et al 2021;Xie et al 2021;Yang, He, and Cao 2022; (Guo et al 2022) utilizes a timing engine inspired GNN to predict slack, where node embedding is updated with predecessors asynchronously. However, it is prone to signal decay and error accumulation, demonstrated in Fig.…”
Section: Introductionmentioning
confidence: 99%