2011
DOI: 10.1016/j.tsf.2011.01.405
|View full text |Cite
|
Sign up to set email alerts
|

Preparation and analysis of amorphous carbon films deposited from (C6H12)/Ar/He chemistry for application as the dry etch hard mask in the semiconductor manufacturing process

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
7
0

Year Published

2012
2012
2023
2023

Publication Types

Select...
9

Relationship

0
9

Authors

Journals

citations
Cited by 13 publications
(7 citation statements)
references
References 12 publications
0
7
0
Order By: Relevance
“…The 3-sigma value of etch rate with C 3 H 6 was 6.37%, and the value was 7.40% with C 2 H 2 , which was slightly higher than C 3 H 6 . This suggests that ACHM with C 3 H 6 had better uniformity and lower etch rate variation, which are critical factors considering practical applications in 3D-NAND fabrication process [19]. From the above results, higher temperatures will decrease the non-uniformity and etch rate, which were considered more important as a dry etch hard mask in 3D-NAND application due to the direct impact on yield data and process cost.…”
Section: Resultsmentioning
confidence: 84%
See 1 more Smart Citation
“…The 3-sigma value of etch rate with C 3 H 6 was 6.37%, and the value was 7.40% with C 2 H 2 , which was slightly higher than C 3 H 6 . This suggests that ACHM with C 3 H 6 had better uniformity and lower etch rate variation, which are critical factors considering practical applications in 3D-NAND fabrication process [19]. From the above results, higher temperatures will decrease the non-uniformity and etch rate, which were considered more important as a dry etch hard mask in 3D-NAND application due to the direct impact on yield data and process cost.…”
Section: Resultsmentioning
confidence: 84%
“…The properties of such coatings are directly related to the hybridization state of carbon bonds and the hydrogen content [13][14][15][16]. ACHM can be deposited by plasma-enhanced chemical vapor deposition (PECVD) with various carbon sources, such as methane (CH 4 ), acetylene (C 2 H 2 ), propylene (C 3 H 6 ) and 1-hexene (C 6 H 12 ) [17][18][19]. However, an experimental study comparing the film deposition, dry etch characteristics and approaches for higher selective ratios by using different precursors have been rarely reported.…”
Section: Introductionmentioning
confidence: 99%
“…Higher power also enhanced the neutral and ion bombardment energy to the surface, which increased the building of sp3 structures. Therefore, these two parameters both brought greater benefits in terms of deceasing the etching rate during the etching process [13]. Figure 5b shows the dependence of ER on the temperature and the C 2 H 2 flow rate.…”
Section: Resultsmentioning
confidence: 99%
“…In a plasma-processing reactor, the temperature of the reactor is often considered an important factor that could be adjusted to optimize the process. For instance, the temperature of the wafer pedestal should be finely modulated to heat wafers to the targeted temperatures during the manufacturing process [10][11][12][13]. Similarly, the temperature of the showerhead electrode should also be tightly controlled during the process.…”
Section: Introductionmentioning
confidence: 99%