2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S) 2015
DOI: 10.1109/s3s.2015.7333538
|View full text |Cite
|
Sign up to set email alerts
|

Power, performance, and cost comparisons of monolithic 3D ICs and TSV-based 3D ICs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
11
0

Year Published

2016
2016
2023
2023

Publication Types

Select...
5
4
1

Relationship

1
9

Authors

Journals

citations
Cited by 28 publications
(11 citation statements)
references
References 4 publications
0
11
0
Order By: Relevance
“…Delay and power are obtained through post-P&R SPICE simulations to consider the interconnect parasitics for both 2D and 3D cases. For the 3D vias, we realistically estimate the resistance and capacitance to be 200mΩ and 0.1f F , respectively, based on similar monolithic 3D measurements on a FDSOI 28nm node [21].…”
Section: A Experimental Methodologymentioning
confidence: 99%
“…Delay and power are obtained through post-P&R SPICE simulations to consider the interconnect parasitics for both 2D and 3D cases. For the 3D vias, we realistically estimate the resistance and capacitance to be 200mΩ and 0.1f F , respectively, based on similar monolithic 3D measurements on a FDSOI 28nm node [21].…”
Section: A Experimental Methodologymentioning
confidence: 99%
“…Monolithic 3D ICs consist of multiple device layers fabricated sequentially on the same die and connecting using Monolithic Inter-tier Vias (MIVs) which are essentially the same size as intra-tier vias [27]. MIVs offer better parasitics and a higher integration density compared to TSVs due to their smaller size [28]. Since monolithic 3D enables the finest pitch of 3D connection, it holds the most promise.…”
Section: A Overview Of 3d Integration Technologiesmentioning
confidence: 99%
“…Significant work has been done on the monolithic 3D IC design in recent times, most of which contributed to developing various design concepts of 3D ICs. The studies in this field include developing a face-to-face stacked heterogeneous 3D IC structure [13], exploring various microfluidic cooling mechanisms for 3D ICs [14], studying recent developments in monolithic 3D ICs and the high density and performance benefits [15], designing a logic-on-memory processor using monolithic 3D (M3D) IC techniques [16], developing a design and testing system for M3D ICs [17], comparing the TSV-based 3D structure with M3Ds [18,19], studying the effect of process variation on the performance of M3D ICs [20,21], and developing effective gate-sizing methods to boost circuit speed while considering intra-die process variation [22]. Other related studies include repurposing various components of commercial 2D P&R tools to implement 3D ICs [23][24][25][26].…”
Section: Introductionmentioning
confidence: 99%