2013 IEEE 63rd Electronic Components and Technology Conference 2013
DOI: 10.1109/ectc.2013.6575713
|View full text |Cite
|
Sign up to set email alerts
|

Power delivery modeling for 3D systems with non-uniform TSV distribution

Abstract: This paper reports on power delivery modeling for 3D systems where through-silicon vias (TSVs) are not uniformly distributed, but are arranged at the peripheries of circuit blocks and die edges. The voltage drop (IR) and di/dt noise on the power delivery are modeled and compared with those given by uniformly distributed TSVs. The impact of TSV density and circuit block size, and their tradeoffs are evaluated.

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2014
2014
2023
2023

Publication Types

Select...
3
2

Relationship

1
4

Authors

Journals

citations
Cited by 7 publications
(3 citation statements)
references
References 8 publications
0
3
0
Order By: Relevance
“…We compared the proposed algorithm with the conventional algorithms in order to verify the superiority of the proposed algorithm in minimising the number of power TSVs and bumps while satisfying the IR drop constraint without an increase in the area. In [15], a 3D power delivery network modelling is proposed with a peripheral TSV arrangement and the power TSVs are placed at the four‐sided boundary of the power delivery network. For the absolute comparison with our proposed algorithm, as controlling interval between the inserted power TSVs to search the minimised number of power TSVs to satisfy IR drop constraint, the number of power TSVs is controlled.…”
Section: Simulation Resultsmentioning
confidence: 99%
See 1 more Smart Citation
“…We compared the proposed algorithm with the conventional algorithms in order to verify the superiority of the proposed algorithm in minimising the number of power TSVs and bumps while satisfying the IR drop constraint without an increase in the area. In [15], a 3D power delivery network modelling is proposed with a peripheral TSV arrangement and the power TSVs are placed at the four‐sided boundary of the power delivery network. For the absolute comparison with our proposed algorithm, as controlling interval between the inserted power TSVs to search the minimised number of power TSVs to satisfy IR drop constraint, the number of power TSVs is controlled.…”
Section: Simulation Resultsmentioning
confidence: 99%
“…In some of the recent studies of 3D power delivery network, initial power bumps are placed in a regular configuration with a fixed mesh structure, and the number and location of power TSVs are optimised to satisfy IR drop constraint in 3D ICs [13, 14]. In [15], the authors proposed power delivery network modelling with peripheral TSV arrangement for 3D IC. However, in these studies, the number of power bumps is greater than necessary because of the regular placement of power bumps while the room for clock bumps and signal bumps is limited.…”
Section: Introductionmentioning
confidence: 99%
“…One of the interesting phenomena occurring in this type of cooling systems, and yet to be studied, is the interaction between the electric, magnetic, thermal and pressure fields involved. Savidis et al [7], He and Lu [8], Xie and Swaminathan [9], He et al [10] and Xie et al [11] investigated voltage drops in power delivery network for TSV-based 3D integration packages. It is well understood that electric fields and magnetic fields can influence the flow-field and consequently the heat transfer [12].…”
Section: Introductionmentioning
confidence: 99%