2000
DOI: 10.1109/54.895008
|View full text |Cite
|
Sign up to set email alerts
|

Postsilicon validation methodology for microprocessors

Abstract: A proposed methodology targets microarchitectural attributes prioritized based on the importance of validating corner cases. Several test templates cover all the critical attributes.

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
9
0

Year Published

2010
2010
2015
2015

Publication Types

Select...
5
3
1

Relationship

0
9

Authors

Journals

citations
Cited by 27 publications
(10 citation statements)
references
References 16 publications
0
9
0
Order By: Relevance
“…SBST is also a potentially effective solution for postsilicon validation. Execution of verification tests in early silicon prototypes is orders of magnitude faster than simulation-based verification tests and this enables designers to apply more comprehensive tests within a limited time period [17]. However, developing testbenches for post-silicon validation is a tedious task since it suffers from limited internal node observability compared to the full signal observability that a pre-silicon, simulationbased environment offers.…”
Section: Sbst Of Single-threaded Processorsmentioning
confidence: 99%
“…SBST is also a potentially effective solution for postsilicon validation. Execution of verification tests in early silicon prototypes is orders of magnitude faster than simulation-based verification tests and this enables designers to apply more comprehensive tests within a limited time period [17]. However, developing testbenches for post-silicon validation is a tedious task since it suffers from limited internal node observability compared to the full signal observability that a pre-silicon, simulationbased environment offers.…”
Section: Sbst Of Single-threaded Processorsmentioning
confidence: 99%
“…Tests in the post-silicon domain consists of directed tests checking specific features of the processor, automatically generated random tests, as well as compatibility checks, such as operating system boot-up and tests with legacy software [2,3]. Directed tests demand much engineering effort and are limited to short sequences or basic system activities.…”
Section: Post-silicon Validation and Its Challengesmentioning
confidence: 99%
“…While tests can be run at-speed on the hardware, test generation and simulation constitute the bottleneck in this process, almost reducing it to the performance level of pre-silicon simulation. Consequently, design houses are forced to spend enormous computational resources on test simulation server farms [3]. Nevertheless, verification with randomized programs remains a central component of the post-silicon validation process, since it can expose many unexpected behaviors of the system missed by directed tests.…”
Section: Post-silicon Validation and Its Challengesmentioning
confidence: 99%
“…Aggressive technology scaling and extreme chip integration, combined with the compelling requirement to diminish the time-to-market window have rendered modern microprocessors more prone to design bugs than ever [22], [25], [28]. As a result, silicon debug -the process of validating and debugging a new microprocessor design on its first silicon prototype chips -has evolved to a crucial, time-consuming, and labor-demanding step in a microprocessor's development flow.…”
Section: Introductionmentioning
confidence: 99%