2017
DOI: 10.2494/photopolymer.30.613
|View full text |Cite
|
Sign up to set email alerts
|

Photo Material Readiness at the Eve of EUVL HVM

Abstract: In the last years, the continuous efforts on the development of extreme ultraviolet (EUV) lithography has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool and today both chemically amplified (CAR) and metal-oxide (MOR) EUV photoresists have been introduced for patterning imec's 7nm node critical layers. However, the HVM requirement to have a cost-effective high sensitivity photoresist (< 20 mJ/cm 2 ) still remains a big challenge and further eff… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
21
0

Year Published

2018
2018
2024
2024

Publication Types

Select...
8
2

Relationship

2
8

Authors

Journals

citations
Cited by 21 publications
(21 citation statements)
references
References 3 publications
(4 reference statements)
0
21
0
Order By: Relevance
“…The latter point thus demands that the photoresists have high sensitivity and can yield nanopatterns at doses as low as 20 mJ∕cm 2 or below. 2,[10][11][12] Although the standard platform for resist materials has been polymer-based chemically amplified resists, EUV lithography technology requires the development of entirely new resist platforms. 2,[13][14][15] As future nodes are continuously decreasing, the size of polymers traditionally used in photoresists has become a critical point especially with regard to linewidth roughness.…”
Section: Introductionmentioning
confidence: 99%
“…The latter point thus demands that the photoresists have high sensitivity and can yield nanopatterns at doses as low as 20 mJ∕cm 2 or below. 2,[10][11][12] Although the standard platform for resist materials has been polymer-based chemically amplified resists, EUV lithography technology requires the development of entirely new resist platforms. 2,[13][14][15] As future nodes are continuously decreasing, the size of polymers traditionally used in photoresists has become a critical point especially with regard to linewidth roughness.…”
Section: Introductionmentioning
confidence: 99%
“…For adequate lithography performance, resists should simultaneously satisfy resolution (< 10 nm), line-width roughness (LWR < 15%) and sensitivity (dose < 20 mJ/cm 2 ) at sustainable production costs. [3][4][5][6] Though the standard platform for resist materials have been polymer-based chemically amplified resists (CAR's), EUV lithography application demands an entirely new platform for resist development. 3,7,8 As the future process nodes are continuously decreasing, the size of traditionally used polymers in photoresists cannot be ignored.…”
Section: Introductionmentioning
confidence: 99%
“…However, the high-volume-manufacturing (HVM) requirement to have a cost-effective low exposure dose photoresist (20-30 mJ/cm 2 exposure dose range) remains a big challenge and pattern defectivity at nano-scale is the major limiting factor of the lithographic process window of EUV resist when looking at tight pitches below 40nm. Recent studies on pitch 32nm dense line space patterning and pitch 36nm dense orthogonal contact hole patterning have shown pattern defectivity as nanobridges and broken-lines, and merging or closing, respectively [2,3]. Therefore, it is extremely important to be effective at the early characterization stage of the EUV resist to quantify the nano-failures induced by a stochastic EUV patterning regime, the random nature of the lightmatter interaction and consequent chemical reactions.…”
Section: Introductionmentioning
confidence: 99%