2020
DOI: 10.1117/1.jmm.19.4.041001
|View full text |Cite
|
Sign up to set email alerts
|

Perspectives and tradeoffs of absorber materials for high NA EUV lithography

Abstract: Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging. Simulations of various use cases an… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
28
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
6

Relationship

1
5

Authors

Journals

citations
Cited by 27 publications
(29 citation statements)
references
References 41 publications
(52 reference statements)
0
28
0
Order By: Relevance
“…The investigations of this work are triggered by the observation of waveguide-like modes in the simulated near-field of EUV masks. 16 Waveguiding effects in EUV were also observed in modeling studies on EUV lithography with an NA of 0.99 21 and in coherent diffractive imaging using EUV light. 22 This work perceives the patterned mask absorber as a waveguide.…”
Section: Introductionmentioning
confidence: 85%
See 4 more Smart Citations
“…The investigations of this work are triggered by the observation of waveguide-like modes in the simulated near-field of EUV masks. 16 Waveguiding effects in EUV were also observed in modeling studies on EUV lithography with an NA of 0.99 21 and in coherent diffractive imaging using EUV light. 22 This work perceives the patterned mask absorber as a waveguide.…”
Section: Introductionmentioning
confidence: 85%
“…The imaging effects are investigated for vertical onedimensional features (space). Vertical spaces are more critical than horizontal features 13,16 and have less propagating waveguide modes due to lower demagnification (4×) compared with horizontal (8×). The waveguide approach can be used to explain effects that far-field diffraction (Fourier) analysis or the simplified geometrical shadowing approach cannot explain.…”
Section: Interaction Between Waveguide Modes and Diffraction Ordersmentioning
confidence: 99%
See 3 more Smart Citations