2009
DOI: 10.1002/cae.20243
|View full text |Cite
|
Sign up to set email alerts
|

PerfPred: A web‐based tool for exploring computer architecture design space

Abstract: This paper presents a Web-based tool for predicting the performance of computer systems. The tool can be used for teaching how the hardware configurations (processor microarchitecture, memory hierarchy, etc.) and/or software (benchmark program) characteristics can affect the system throughput. Use of the proposed tool in computer architecture classes has demonstrated its effectiveness in improving the students' understanding of the related topics.ß

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
5
0

Year Published

2009
2009
2015
2015

Publication Types

Select...
4
1

Relationship

2
3

Authors

Journals

citations
Cited by 9 publications
(5 citation statements)
references
References 26 publications
0
5
0
Order By: Relevance
“…For example, an Interactive Multimedia E-Learning System (IMELS) was developed to provide a comprehensive problem-based learning environment for the discipline of industrial engineering [23]. PerfPred is a Web-based tool for predicting the performance of computer systems [24]. Stefanovic et al [25] present a web laboratory as educational tool for teaching students the basic principles and methodology in performing a series of experiments on different equipment through the Internet.…”
Section: Related Workmentioning
confidence: 99%
“…For example, an Interactive Multimedia E-Learning System (IMELS) was developed to provide a comprehensive problem-based learning environment for the discipline of industrial engineering [23]. PerfPred is a Web-based tool for predicting the performance of computer systems [24]. Stefanovic et al [25] present a web laboratory as educational tool for teaching students the basic principles and methodology in performing a series of experiments on different equipment through the Internet.…”
Section: Related Workmentioning
confidence: 99%
“…The cycles per instruction (CPI) estimation error of 1.5–12% was attained with a single SPEC CPU 2000 benchmark. A range of hardware parameters was used in a machine learning model for estimating the processor performance by Beg .…”
Section: Related Workmentioning
confidence: 99%
“…According to Beg and Ibrahim , the processor‐system models could be used for teaching the computer architecture. However, the models characterized a program's dynamic behavior with a single variable (average size of all BBs in a program) was not very accurate.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…The tool features can be categorized as: analog/digital or mixed‐mode simulation, schematic capture, printed circuit board design, and HDL simulation and synthesis. Some of the EDA tools have been available in the offline mode while others are online .…”
Section: Related Workmentioning
confidence: 99%