2017
DOI: 10.5120/ijca2017915284
|View full text |Cite
|
Sign up to set email alerts
|

Performance Optimization of Nonlinear VLSI Interconnect Circuit using Schmitt Trigger

Abstract: Chip Interconnect delay and power is a primary criterion in the design of an Integrated Circuit because of its close connection to the speed of IC. Interconnect Buffers in VLSI circuits is the most widespread procedure used to decrease power and delay but they outcome in high Delay and power dissipation, thereby degrading the performance (i.e.) operating speed of an integrated circuit. Use of buffers within interconnect is mostly for optimizing power dissipation and delay in interconnect, but Buffers themselve… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 14 publications
(10 reference statements)
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?