2014
DOI: 10.1007/s10836-014-5502-4
|View full text |Cite
|
Sign up to set email alerts
|

Pattern Generation for Understanding Timing Sensitivity to Power Supply Noise

Abstract: Timing prediction has become more and more difficult with shrinking technology nodes. Combining the presilicon delay model with post-silicon timing measurements has the potential to improve the accuracy of timing analysis. In this work, we address the problem of automatic test pattern generation for understanding circuit timing sensitivity to power supply noise (PSN) during post-silicon validation. Long paths are selected from a pseudo functional test set to span the power delivery network. To determine the se… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2015
2015
2015
2015

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
references
References 15 publications
(13 reference statements)
0
0
0
Order By: Relevance