2016 International Conference on High Performance Computing &Amp; Simulation (HPCS) 2016
DOI: 10.1109/hpcsim.2016.7568411
|View full text |Cite
|
Sign up to set email alerts
|

Parallel matrix multiplication on memristor-based computation-in-memory architecture

Abstract: One of the most important constraints of today's architectures for data-intensive applications is the limited bandwidth due to the memory-processor communication bottleneck. This significantly impacts performance and energy. For instance, the energy consumption share of communication and memory access may exceed 80%. Recently, the concept of Computation-in-Memory (CIM) was proposed, which is based on the integration of storage and computation in the same physical location using a crossbar topology and non-vola… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
11
0

Year Published

2017
2017
2023
2023

Publication Types

Select...
5
2
2

Relationship

3
6

Authors

Journals

citations
Cited by 19 publications
(11 citation statements)
references
References 28 publications
0
11
0
Order By: Relevance
“…Memristor [4], [5]-based Computation-in-Memory (CIM) architectures [6]- [9] address the aforementioned problems by enabling in-memory processing using emerging nonvolatile technologies. Manually designed case studies revealed their enormous potential by outperforming the state-of-the-art with orders of magnitude [10]- [12]. Exploring the potential of such architectures and appropriately evaluating their performance and scalability for larger applications require automatic flows and methods that efficiently map high-level algorithmic description to low-level memristor crossbar configuration.…”
Section: Introductionmentioning
confidence: 99%
“…Memristor [4], [5]-based Computation-in-Memory (CIM) architectures [6]- [9] address the aforementioned problems by enabling in-memory processing using emerging nonvolatile technologies. Manually designed case studies revealed their enormous potential by outperforming the state-of-the-art with orders of magnitude [10]- [12]. Exploring the potential of such architectures and appropriately evaluating their performance and scalability for larger applications require automatic flows and methods that efficiently map high-level algorithmic description to low-level memristor crossbar configuration.…”
Section: Introductionmentioning
confidence: 99%
“…Among these proposals, memristor crossbar based logic circuit is a promising candidate due to its attractive characteristics in terms of scalability, high integration density, and non-volatility, etc [15,16]. Moreover, based on memristor technology, novel computer architectures for data-intensive applications have been proposed, such as computation-in-memory [17][18][19][20][21], resistive associate processor [22] and Pinatubo [23]; they show a potential of order of magnitude performance improvement as compared to todays' architectures.…”
Section: Introductionmentioning
confidence: 99%
“…One utilizes two or more reference cells to distinguish the different resistance states 55 of the data cells participating in the logic operations, while the other one executes the logic operations by its complementary structure [5,21,22]. Most of the CIM paradigms have been presented and assessed at architecture level [6,[23][24][25]. They 60 are based on the idea of adding necessary peripheral circuits to the memory, which makes the memory has some kinds of computation capability and storage capability at the same time [26][27][28].…”
Section: Introductionmentioning
confidence: 99%