2019
DOI: 10.1145/3241051
|View full text |Cite
|
Sign up to set email alerts
|

Pane

Abstract: Communication between different IP cores in MPSoCs and HMPs often results in clock domain crossing. Asynchronous network on chip (NoC) support communication in such heterogeneous set-ups. While there are a large number of tools to model NoCs for synchronous systems, there is very limited tool support to model communication for multi-clock domain NoCs and analyse them. In this article, we propose the P luggable A synchronous NE twork on Chi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
3
1

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(3 citation statements)
references
References 22 publications
0
3
0
Order By: Relevance
“…It informs statistical measurements such as end-to-end latencies, throughput, and transfer latencies. The PANE simulator [15] also provides a modeling and system analysis tool for asynchronous, synchronous, and mixed synchronousasynchronous (heterogeneous), but with good improvements compared with HNOCS [14]. For example, PANE allows users to configure the NoC with delays for each functional unit.…”
Section: Noc Simulatorsmentioning
confidence: 99%
See 2 more Smart Citations
“…It informs statistical measurements such as end-to-end latencies, throughput, and transfer latencies. The PANE simulator [15] also provides a modeling and system analysis tool for asynchronous, synchronous, and mixed synchronousasynchronous (heterogeneous), but with good improvements compared with HNOCS [14]. For example, PANE allows users to configure the NoC with delays for each functional unit.…”
Section: Noc Simulatorsmentioning
confidence: 99%
“…The use of a multi-agent platform for the development of the NoC simulator demonstrate a good performance when compared to the performance of state-of-the-art high-level abstraction simulators. For instance, in [15] scenarios simi-lar to ours were used to evaluate NoCs with mesh topologies and different sizes using the PANE simulator. Test scenarios were configured with one-flit-long packets injected at 0.05 packets/router and the simulation took 235 minutes, i.e., ≈ 4 hours, to execute a 32 × 32 NoC.…”
Section: Group 3: Simulator Performancementioning
confidence: 99%
See 1 more Smart Citation