2015
DOI: 10.2494/photopolymer.28.515
|View full text |Cite
|
Sign up to set email alerts
|

Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

Abstract: In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be a… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
5

Citation Types

0
25
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 24 publications
(26 citation statements)
references
References 11 publications
(12 reference statements)
0
25
0
Order By: Relevance
“…of ∼5–10 × 10 3 g/mol, using HIBL at ∼60 μC/cm 2 . , Apart from polymeric photoresists, metal oxide-based resists have also been recently investigated including zirconium (Zr), hafnium (Hf), and zinc (Zn) and showed a reduced exposure dose ( E 0 ). In this context, the HafSO x -based resist has demonstrated HIBL sub-10 nm isolated features at 4 μC/cm 2 . This enhanced sensitivity might be due to the interaction of the irradiation ion flux on the large absorption cross-section of the metal oxide core/nanoparticle surfaces. ,,,, However, in comparable resists, a major concern is the broad size distribution of metal oxide nanoparticles in resist formulations, which hinders the pattern generation beyond sub-10 nm L/S and also possesses high LER/LWR. To realize the acceptable resolution and sensitivity trade-off as per the next-generation technology roadmap, a small size distribution of ∼2 nm of metal–organic clusters (MOCs) has been considered for advanced resist formulations. , …”
Section: Introductionmentioning
confidence: 99%
“…of ∼5–10 × 10 3 g/mol, using HIBL at ∼60 μC/cm 2 . , Apart from polymeric photoresists, metal oxide-based resists have also been recently investigated including zirconium (Zr), hafnium (Hf), and zinc (Zn) and showed a reduced exposure dose ( E 0 ). In this context, the HafSO x -based resist has demonstrated HIBL sub-10 nm isolated features at 4 μC/cm 2 . This enhanced sensitivity might be due to the interaction of the irradiation ion flux on the large absorption cross-section of the metal oxide core/nanoparticle surfaces. ,,,, However, in comparable resists, a major concern is the broad size distribution of metal oxide nanoparticles in resist formulations, which hinders the pattern generation beyond sub-10 nm L/S and also possesses high LER/LWR. To realize the acceptable resolution and sensitivity trade-off as per the next-generation technology roadmap, a small size distribution of ∼2 nm of metal–organic clusters (MOCs) has been considered for advanced resist formulations. , …”
Section: Introductionmentioning
confidence: 99%
“…Electron beam lithography and extreme ultraviolet (EUV) lithography (EUVL) have become promising approaches to writing sub-20 nm features. , However, one also needs new resists that can capture these electrons or photons and produce nanoscale patterns. The development of new resists has focused on inorganic materials, often composed of metal oxide nanoparticles or clusters containing metals like Sn, Hf, Zr, and Ti. These materials have been used to maximize the absorption of EUV photons, reduce pattern blurring, and increase etch resistance. Each of these attributes stems from the inorganic nature of these reagents and allows for higher resolution patterning.…”
Section: Introductionmentioning
confidence: 99%
“…Although there are a wide variety of chemistries that can occur for each of these types of materials, the products formed during the patterning reaction are often presumed to be dense metal oxides . For example, in metal oxide sulfate (MSO x ) cluster materials mixed with hydrogen peroxide, radiation-induced peroxide desorption and subsequent condensation lead to M–O–M crosslinking, prompting a solubility change. ,, Metal oxide nanoparticle resists have been developed that are stabilized by photosensitive ligands or photoacid generators that decompose under UV irradiation, causing changes in solubility that lead to particle agglomeration. ,,, In other cases, nanoparticles stabilized by long-chain organic ligands undergo crosslinking when exposed to electrons or X-rays . For organometallic clusters, it is hypothesized that irradiation drives the decomposition of organic groups, followed by crosslinking of metal and oxygen bonds to create an insoluble network. ,,, We have previously demonstrated the loss of butyl ligands in resists deposited from two model organotin species. The irradiation products that form from each of these inorganic resists, or reagents, have the potential to be used for a variety of direct-write applications.…”
Section: Introductionmentioning
confidence: 99%
“…The high EUV sensitivity is mostly due to a higher atomic absorption at EUV wavelength compared to other materials. 8 While metal-containing resists have several advantages, some concerns may arise from impurities and metallic contamination of critical layers. 3 Hydrogensilsesquioxane (HSQ) has been utilized widely as negative electron-beam resist.…”
Section: Introductionmentioning
confidence: 99%