Optical and EUV Nanolithography XXXVI 2023
DOI: 10.1117/12.2658511
|View full text |Cite
|
Sign up to set email alerts
|

Overview of stitching for high NA: imaging and overlay experimental and simulation results

Abstract: An increased interest to stitching for High NA EUVL is observed; this is driven by expected higher demand of larger size chips for various applications. In the past a recommendation was published [1] to have 1-5 um band where no critical structures of a High NA layer would be allowed. In [2], we have introduced new insights on at-resolution stitching. In this publication, we present new experimental results obtained on NXE:3400B scanner. In the past we showed NXE feasibility results of vertical lines and conta… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
9
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
4
2

Relationship

2
4

Authors

Journals

citations
Cited by 7 publications
(9 citation statements)
references
References 9 publications
0
9
0
Order By: Relevance
“…Thus, stitching will be required to achieve the same exposure field as previous scanner generations. [2,3] Previous studies have shown that the formation of the black border region causes deformation of the multi-layer mirror, which can cause pattern placement errors. This necessitates the use of an image border in the double exposure region.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Thus, stitching will be required to achieve the same exposure field as previous scanner generations. [2,3] Previous studies have shown that the formation of the black border region causes deformation of the multi-layer mirror, which can cause pattern placement errors. This necessitates the use of an image border in the double exposure region.…”
Section: Introductionmentioning
confidence: 99%
“…These studies have been instrumental in showing the feasibility of stitching but have focused on simple periodic patterns. [2,3] In this study we will simulate the optical transition between the black border and image border, as well as the mechanical stress relaxation caused during the black border etch. We will show that it is necessary to use sub-resolution gratings to minimize the reflectivity of the image border, and we will examine the mask manufacturing tolerances for these gratings.…”
Section: Introductionmentioning
confidence: 99%
“…In case of low-n mask additional sub-resolution grating on the other mask is needed for background intensity reduction. 12) Additionally, the wafer SEM image and CD plot in Fig. 5 show the transition zone where the wafer CD gradually changes over a range of 50 nm at wafer.…”
Section: Stitchingmentioning
confidence: 96%
“…Imec together with ASML has experimentally demonstrated the stitching feasibility at NA0.33. 11,12) The main interactions in the stitching area are specified in Fig. 5.…”
Section: Stitchingmentioning
confidence: 99%
“…However, the EUV mask blank size remains at 6"X6" therefore the maximum exposure area on wafer will be reduced by 50%. Complex devices with a die size exceeding this new area limit will need to be formed by "stitching" two separate exposures together [1]. A small overlap region will be required.…”
Section: Introductionmentioning
confidence: 99%