2008
DOI: 10.1117/12.782035
|View full text |Cite
|
Sign up to set email alerts
|

Overlay metrology at the crossroads

Abstract: The introduction of new techniques such as double patterning will reduce overlay process tolerance much faster than the rate at which critical feature dimensions are shrinking. In order to control such processes measurements with uncertainties under 0.4nm are desirable today and will become essential within the next few years. This very small error budget leads to questions about the capability of the imaging technology used in overlay tools today and to evaluation of potential replacement techniques. In this … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2008
2008
2013
2013

Publication Types

Select...
4
1

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(6 citation statements)
references
References 14 publications
0
6
0
Order By: Relevance
“…Smith et al [16] also discuss other errors that cannot be reduced from repeating measurements (e.g., in-die overlay measurements). At this juncture, the multi-pad DBO technique is at a disadvantage because of the target sizes associated with this technique.…”
Section: Summary and Concluding Remarksmentioning
confidence: 97%
See 2 more Smart Citations
“…Smith et al [16] also discuss other errors that cannot be reduced from repeating measurements (e.g., in-die overlay measurements). At this juncture, the multi-pad DBO technique is at a disadvantage because of the target sizes associated with this technique.…”
Section: Summary and Concluding Remarksmentioning
confidence: 97%
“…Smith et al [16] point out that "[p]recision and TIS can be reduced by repeating measurements, though with an increase in the cost per measurement, but other errors such as site-by-site tool matching difference cannot be simply reduced." While this is true, multi-pad DBO approach may have a distinct advantage for tool matching since the calculated overlay numbers do not depend on absolute spectral matching between two tools.…”
Section: Summary and Concluding Remarksmentioning
confidence: 99%
See 1 more Smart Citation
“…In the 2000s the emphasis shifted to using the tools to characterize and monitor semiconductor lithography processes and how to control them better. References [1][2][3][4][5][6][7][8][9][10][11] are the top downloaded papers from the Proceedings of SPIE in this Conference for the past 25 years, as supplied by the Director of Publications of SPIE [23]. The top paper [1] had more than 350 downloads as of the writing of this paper.…”
Section: The Past 25 Years Of the Metrology Conferencementioning
confidence: 99%
“…High TIS and tool-to-tool matching errors make it difficult to meet the measurement uncertainty requirements using the traditional Image-Based Overlay method (IBO), even though most advanced IBO tools are operating at TMU levels under 1nm. Diffraction-based (scatterometry) overlay (DBO) measurement is an alternative optical measurement technique that has been reported to offer better precision than IBO and near zero TIS [6,12,[14][15], and is therefore a possible solution to the measurement uncertainty budget. Bischoff et al proposed measuring overlay using the diffraction efficiencies of the first diffracted orders [7].…”
Section: Introductionmentioning
confidence: 99%